nl,bash show the free space on all filesystems,df -h lists the name and pids of the top 5 processes by percentage of CPU usage,"ps -eo pid,comm,%cpu --sort=-%cpu | head -n 6" shows how much free memory a system has,free -h shows how much free space there is on the root filesystem,df -h / shows the disk space used by the directory /var/log,du -sh /var/log show the last date and time the system was rebooted,who -b show how long the system has been up,uptime -p who is currently logged into the system,who install wget on Redhat without user input,yum install -y wget set my user's time zone to Los Angeles,timedatectl set-timezone America/Los_Angeles show the version of the kernel,uname -r show the version of the operating system,cat /etc/os-release find the largest file under my home directory,find ~ -type f -exec ls -lh {} + | sort -k 5 -hr | head -n 1 make data.dat readable by just the owner,chmod 400 data.dat set the file creation mask so that only the owner has file write permission,umask 037 create a new file called data.dat with a size of 512K bytes,truncate -s 512K data.dat create an ext3 filesystem in my existing file named disk.img,mkfs.ext3 disk.img set the system's timezone to Los Angeles,timedatectl set-timezone America/Los_Angeles create a new group called alfa,groupadd alfa add user test to the group called alfa,usermod -aG alfa test add user test to the alfa group as administrator,usermod -aG alfa test; gpasswd -A test alfa remove the group password from the alfa group,gpasswd -r alfa restrict access of all users in the group named alfa,chmod -R o-rwx /path/to/directory remove user test from the alfa group,gpasswd -d test alfa remove the alfa group,groupdel alfa add a new user named test,adduser test add a login for a new user named test which expires 90 days from today,"useradd -e $(date -d ""+90 days"" +%Y-%m-%d) test" give me the date 90 days from today,"date -d ""+90 days""" create a new systems group called alfa2,groupadd alfa2 lock user test2's account,usermod -L test2 force user test2 to change their password at next login,chage -d 0 test2 modify the access time of my file data.dat to be 12:00 on 2022-12-31,touch -a -t 202212311200 data.dat create a FIFO named myfifo in /tmp,mkfifo /tmp/myfifo insert #!/usr/bin/python3 at the top of each *.py files under the current directory,"for file in *.py; do sed -i '1i #!/usr/bin/python3' ""$file""; done" rename all of my files named test.py replacing the string test with unit_test,find . -type f -name 'test.py' -execdir mv {} unit_test.py \; prefix every non-blank line in file.log with the string 'hello',sed '/./ s/^/hello/' file.log change the file extension from .mpg to .avi every file in directory /home/test/uploads,"for file in /home/test/uploads/*.mpg; do mv ""$file"" ""${file%.mpg}.avi""; done" prefix the line number to every line in file.log,nl -ba file.log make the file pretty-print.sh executable,chmod +x pretty-print.sh append all PNG and JPG files to the existing tar archive file images.tar,tar -rf images.tar *.png *.jpg calculate the md5 checksum of every file under the directory named downloads,find downloads -type f -exec md5sum {} + change the group ownership to alfa of my file named download.dat,chgrp alfa download.dat count all of the directories under my home directory,find ~ -type d | wc -l list the *.dat files in the current directory in ascending order by size,ls -lS --block-size=1 --reverse *.dat create the path src/vpd/new without an error if the path already exists,mkdir -p src/vpd/new list all files in my Downloads directory which have not been accessed within the last 3 weeks,find ~/Downloads -type f -atime +21 count the total number of lines in the *.c files under my src directory,"find src -name ""*.c"" -type f -exec wc -l {} + | awk '{total += $1} END {print total}'" find all *.c and *.h files under the src directory containing the pattern TODO and print just the file names,"grep -lR ""TODO"" src --include \*.c --include \*.h" show the fully-qualified domain name of my host,hostname -f "calculate the number of days between the dates Jan 12, 2023 and Jan 20, 2024","echo $(( ($(date -d ""Jan 20, 2024"" +%s) - $(date -d ""Jan 12, 2023"" +%s)) / 86400 ))" Create a squashfs filesystem (compressed using `gzip` by default) from an uncompressed tar archive,sqfstar filesystem.squashfs < archive.tar "Create a squashfs filesystem from a tar archive compressed with `gzip`, and [comp]ress the filesystem using a specific algorithm",zcat archive.tar.gz | sqfstar -comp gzip|lzo|lz4|xz|zstd|lzma filesystem.squashfs "Create a squashfs filesystem from a tar archive compressed with `xz`, excluding some of the files",xzcat archive.tar.xz | sqfstar filesystem.squashfs file1 file2 ... "Create a squashfs filesystem from a tar archive compressed with `zstd`, excluding files ending with `.gz`","zstdcat archive.tar.zst | sqfstar filesystem.squashfs ""*.gz""" "Create a squashfs filesystem from a tar archive compressed with `lz4`, excluding files matching a regular expression","lz4cat archive.tar.lz4 | sqfstar filesystem.squashfs -regex ""regular_expression""" Open a URL in the default application,handlr open https://example.com Open a PDF in the default PDF viewer,handlr open path/to/file.pdf Set `imv` as the default application for PNG files,handlr set .png imv.desktop Set MPV as the default application for all audio files,handlr set 'audio/*' mpv.desktop List all default apps,handlr list Print the default application for PNG files,handlr get .png Get the [s]ize of the HEAD commit in bytes,git cat-file -s HEAD "Get the [t]ype (blob, tree, commit, tag) of a given Git object",git cat-file -t 8c442dc3 Pretty-[p]rint the contents of a given Git object based on its type,git cat-file -p HEAD~2 Update virus definitions,freshclam Compile a DVI document,tex source.tex "Compile a DVI document, specifying an output directory",tex -output-directory=path/to/directory source.tex "Compile a DVI document, exiting on each error",tex -halt-on-error source.tex Display a summary of the top 10 historical uptime records,uprecords Display the top 25 records,uprecords -m 25 Display the downtime between reboots instead of the kernel version,uprecords -d Show the most recent reboots,uprecords -B Don't truncate information,uprecords -w Apply a configuration to a resource by file name or `stdin`,kubectl apply -f resource_filename Edit the latest last-applied-configuration annotations of resources from the default editor,kubectl apply edit-last-applied -f resource_filename Set the latest last-applied-configuration annotations by setting it to match the contents of a file,kubectl apply set-last-applied -f resource_filename View the latest last-applied-configuration annotations by type/name or file,kubectl apply view-last-applied -f resource_filename Resize all JPEG images in the directory to 50% of their initial size,magick mogrify -resize 50% *.jpg Resize all images starting with `DSC` to 800x600,magick mogrify -resize 800x600 DSC* Convert all PNGs in the directory to JPEG,magick mogrify -format jpg *.png Halve the saturation of all image files in the current directory,"magick mogrify -modulate 100,50 *" Double the brightness of all image files in the current directory,magick mogrify -modulate 200 * Reduce file sizes of all GIF images in the current directory by reducing quality,magick mogrify -layers 'optimize' -fuzz 7% *.gif Export an app from the container to the host (the desktop entry/icon will show up in your host system's application list),"distrobox-export --app package --extra-flags ""--foreground""" Export a binary from the container to the host,distrobox-export --bin path/to/binary --export-path path/to/binary_on_host Export a binary from the container to the host (i.e.`$HOME/.local/bin`) ,distrobox-export --bin path/to/binary --export-path path/to/export Export a service from the container to the host (`--sudo` will run the service as root inside the container),"distrobox-export --service package --extra-flags ""--allow-newer-config"" --sudo" Unexport/delete an exported application,distrobox-export --app package --delete Convert a .mol file to XYZ coordinates,obabel path/to/file.mol -O path/to/output_file.xyz Convert a SMILES string to a 500x500 picture,"obabel -:""SMILES"" -O path/to/output_file.png -xp 500" Convert a file of SMILES string to separate 3D .mol files,obabel path/to/file.smi -O path/to/output_file.mol --gen3D -m Render multiple inputs into one picture,obabel path/to/file1 path/to/file2 ... -O path/to/output_file.png "List releases in a GitHub repository, limited to 30 items",gh release list Display information about a specific release,gh release view tag Create a new release,gh release create tag Delete a specific release,gh release delete tag Download assets from a specific release,gh release download tag Upload assets to a specific release,gh release upload tag path/to/file1 path/to/file2 ... Launch `virt-viewer` with a prompt to select running virtual machines,virt-viewer "Launch `virt-viewer` for a specific virtual machine by ID, UUID or name","virt-viewer ""domain""" Wait for a virtual machine to start and automatically reconnect if it shutdown and restarts,"virt-viewer --reconnect --wait ""domain""" Connect to a specific remote virtual machine over TLS,"virt-viewer --connect ""xen//url"" ""domain""" Connect to a specific remote virtual machine over SSH,"virt-viewer --connect ""qemu+ssh//username@url/system"" ""domain""" Install a Ruby version,rbenv install version Display a list of the latest stable versions for each Ruby,rbenv install --list Display a list of installed Ruby versions,rbenv versions Use a specific Ruby version across the whole system,rbenv global version Use a specific Ruby version for an application/project directory,rbenv local version Display the currently selected Ruby version,rbenv version Uninstall a Ruby version,rbenv uninstall version Display all Ruby versions that contain the specified executable,rbenv whence executable Convert a PBM image into a MGR bitmap,pbmtomgr path/to/image.pbm > path/to/output.mgr "Display the current state of the system (known screens, resolutions, ...)",xrandr --query Disable disconnected outputs and enable connected ones with default settings,xrandr --auto "Change the resolution and update frequency of DisplayPort 1 to 1920x1080, 60Hz",xrandr --output DP1 --mode 1920x1080 --rate 60 Set the resolution of HDMI2 to 1280x1024 and put it on the right of DP1,xrandr --output HDMI2 --mode 1280x1024 --right-of DP1 Disable the VGA1 output,xrandr --output VGA1 --off Set the brightness for LVDS1 to 50%,xrandr --output LVDS1 --brightness 0.5 Display the current state of any X server,xrandr --display :0 --query Connect to a Zapier account,zapier login Initialize a new Zapier integration with a project template,zapier init path/to/directory "Add a starting trigger, create, search, or resource to your integration",zapier scaffold trigger|create|search|resource name Test an integration,zapier test Build and upload an integration to Zapier,zapier push Display help,zapier help Display help for a specific command,zapier help command "Display job ID, job name, partition, account, number of allocated cpus, job state, and job exit codes for recent jobs",sacct "Display job ID, job state, job exit code for recent jobs",sacct --brief Display the allocations of a job,sacct --jobs job_id --allocations "Display elapsed time, job name, number of requested CPUs, and memory requested of a job","sacct --jobs job_id --format=Elapsed,JobName,ReqCPUS,ReqMem" Display recent jobs that occurred from one week ago up to the present day,"sacct --starttime=$(date -d ""1 week ago"" +'%F')" Output a larger number of characters for an attribute,"sacct --format=JobID,JobName%100" Display the file access control list,getfacl path/to/file_or_directory Display the file access control list with [n]umeric user and group IDs,getfacl --numeric path/to/file_or_directory Display the file access control list with [t]abular output format,getfacl --tabular path/to/file_or_directory Show transactions and running balance in the `assets:bank:checking` account,hledger aregister assets:bank:checking Show transactions and running balance in the first account named `*savings*`,hledger aregister savings "Show the checking account's cleared transactions, with a specified width",hledger aregister checking --cleared --width 120 "Show the checking register, including transactions from forecast rules",hledger aregister checking --forecast Start an interactive shell session,sh Execute a command and then exit,"sh -c ""command""" Execute a script,sh path/to/script.sh Read and execute commands from `stdin`,sh -s Show information for job,scontrol show job job_id Suspend a comma-separated list of running jobs,"scontrol suspend job_id1,job_id2,..." Resume a comma-separated list of suspended jobs,"scontrol resume job_id1,job_id2,..." Hold a comma-separated list of queued jobs (Use `release` command to permit the jobs to be scheduled),"scontrol hold job_id1,job_id2,..." Release a comma-separated list of suspended job,"scontrol release job_id1,job_id2,..." Perform a brute-force attack (mode 3) with the default hashcat mask,hashcat --hash-type hash_type_id --attack-mode 3 hash_value Perform a brute-force attack (mode 3) with a known pattern of 4 digits,"hashcat --hash-type hash_type_id --attack-mode 3 hash_value ""?d?d?d?d""" Perform a brute-force attack (mode 3) using at most 8 of all printable ASCII characters,"hashcat --hash-type hash_type_id --attack-mode 3 --increment hash_value ""?a?a?a?a?a?a?a?a""" Perform a dictionary attack (mode 0) using the RockYou wordlist of a Kali Linux box,hashcat --hash-type hash_type_id --attack-mode 0 hash_value /usr/share/wordlists/rockyou.txt Perform a rule-based dictionary attack (mode 0) using the RockYou wordlist mutated with common password variations,hashcat --hash-type hash_type_id --attack-mode 0 --rules-file /usr/share/hashcat/rules/best64.rule hash_value /usr/share/wordlists/rockyou.txt Perform a combination attack (mode 1) using the concatenation of words from two different custom dictionaries,hashcat --hash-type hash_type_id --attack-mode 1 hash_value /path/to/dictionary1.txt /path/to/dictionary2.txt Show result of an already cracked hash,hashcat --show hash_value Show all example hashes,hashcat --example-hashes Review files that need maintenance in interactive mode,pacdiff Use sudo and sudoedit to remove and merge files,pacdiff --sudo "Review files needing maintenance, creating `.bak`ups of the original if you `(O)verwrite`",pacdiff --sudo --backup Use a specific editor to view and merge configuration files (default is `vim -d`),DIFFPROG=editor pacdiff Scan for configuration files with `locate` instead of using `pacman` database,pacdiff --locate Display help,pacdiff --help "Start an interactive shell (Bash, by default) in a new root directory",arch-chroot path/to/new/root Specify the user (other than the current user) to run the shell as,arch-chroot -u user path/to/new/root Run a custom command (instead of the default Bash) in the new root directory,arch-chroot path/to/new/root command command_arguments "Specify the shell, other than the default Bash (in this case, the `zsh` package should have been installed in the target system)",arch-chroot path/to/new/root zsh Authorize `twurl` to access a Twitter account,twurl authorize --consumer-key twitter_api_key --consumer-secret twitter_api_secret Make a GET request to an API endpoint,twurl -X GET twitter_api_endpoint Make a POST request to an API endpoint,twurl -X POST -d 'endpoint_params' twitter_api_endpoint Upload media to Twitter,"twurl -H ""twitter_upload_url"" -X POST ""twitter_upload_endpoint"" --file ""path/to/media.jpg"" --file-field ""media""" Access a different Twitter API host,twurl -H twitter_api_url -X GET twitter_api_endpoint Create an alias for a requested resource,twurl alias alias_name resource Create a logic app,az logicapp create --name name --resource-group resource_group --storage-account storage_account Delete a logic app,az logicapp delete --name name --resource-group resource_group List logic apps,az logicapp list --resource-group resource_group Restart a logic app,az logicapp restart --name name --resource-group resource_group Start a logic app,az logicapp start --name name --resource-group resource_group Stop a logic app,az logicapp stop --name name --resource-group resource_group "Kill in-progress connections at a specified interface, host and port",tcpkill -i eth1 host 192.95.4.27 and port 2266 Run a `doctl databases firewalls` command with an access token,doctl databases firewalls command --access-token access_token Retrieve a list of firewall rules for a given database,doctl databases firewalls list Add a database firewall rule to a given database,doctl databases firewalls append database_id --rule droplet|k8s|ip_addr|tag|app:value Remove a firewall rule for a given database,doctl databases firewalls remove database_id rule_uuid View documentation for the command runner,tldr just.1 View documentation for the V8 JavaScript runtime,tldr just.js Add a new user to a password file (will prompt to enter the password),mosquitto_passwd path/to/password_file username Create the password file if it doesn't already exist,mosquitto_passwd -c path/to/password_file username Delete the specified username instead,mosquitto_passwd -D path/to/password_file username Upgrade an old plain-text password file to a hashed password file,mosquitto_passwd -U path/to/password_file Run all request files from the current directory,bru run Run a single request from the current directory by specifying its filename,bru run file.bru Run requests using an environment,bru run --env environment_name Run requests using an environment with a variable,bru run --env environment_name --env-var variable_name=variable_value Run request and collect the results in an output file,bru run --output path/to/output.json Display help,bru run --help Install a new crontab from the specified file,scrontab path/to/file [e]dit the crontab of the current user,scrontab -e [e]dit the crontab of the specified user,scrontab --user=user_id -e [r]emove the current crontab,scrontab -r Print the crontab of the current user to `stdout`,scrontab -l "Launch a ""Getting Started"" workflow",gcloud init Launch a workflow without diagnostics,gcloud init --skip-diagnostics Use the console for authentication,gcloud init --console-only Disable a configuration file,sudo a2disconf configuration_file Don't show informative messages,sudo a2disconf --quiet configuration_file Open one or more files in read-only mode,libreoffice --view path/to/file1 path/to/file2 ... Display the content of one or more files,libreoffice --cat path/to/file1 path/to/file2 ... Print files using a specific printer,libreoffice --pt printer_name path/to/file1 path/to/file2 ... Convert all `.doc` files in current directory to PDF,libreoffice --convert-to pdf *.doc Display the [s]tatus of the audit system,sudo auditctl -s [l]ist all currently loaded audit rules,sudo auditctl -l [D]elete all audit rules,sudo auditctl -D [e]nable/disable the audit system,sudo auditctl -e 1|0 Watch a file for changes,"sudo auditctl -a always,exit -F arch=b64 -F path=/path/to/file -F perm=wa" Recursively watch a directory for changes,"sudo auditctl -a always,exit -F arch=b64 -F dir=/path/to/directory/ -F perm=wa" Display [h]elp,auditctl -h View documentation for the current command,tldr pamcomp Delete an empty S3 bucket,aws s3 rb s3://bucket_name Force delete an S3 bucket and its non-versioned objects (will crash if versioned objects are present),aws s3 rb s3://bucket_name --force Show CPU statistics at a 2 second interval for 10 times,pidstat 2 10 Show page faults and memory utilization,pidstat -r Show input/output usage per process ID,pidstat -d Show information on a specific PID,pidstat -p PID "Show memory statistics for all processes whose command name include ""fox"" or ""bird""","pidstat -C ""fox|bird"" -r -p ALL" Display the names and values of shell variables,set Export newly initialized variables to child processes,set -a Write formatted messages to `stderr` when jobs finish,set -b Write and edit text in the command-line with `vi`-like keybindings (e.g. `yy`),set -o vi Return to default mode,set -o emacs List all modes,set -o Exit the shell when (some) commands fail,set -e Create a repository in the local filesystem,kopia repository create filesystem --path path/to/local_repository Create a repository on Amazon S3,kopia repository create s3 --bucket bucket_name --access-key AWS_access_key_id --secret-access-key AWS_secret_access_key Connect to a repository,kopia repository connect repository_type --path path/to/repository Create a snapshot of a directory,kopia snapshot create path/to/directory List snapshots,kopia snapshot list Restore a snapshot to a specific directory,kopia snapshot restore snapshot_id path/to/target_directory Create a new policy,kopia policy set --global --keep-latest number_of_snapshots_to_keep --compression compression_algorithm Ignore a specific file or folder from backups,kopia policy set --global --add-ignore path/to/file_or_folder Print the total number of commits,git count Print the number of commits per contributor and the total number of commits,git count --all Download a video or playlist,youtube-dl 'https://www.youtube.com/watch?v=oHg5SJYRHA0' List all formats that a video or playlist is available in,youtube-dl --list-formats 'https://www.youtube.com/watch?v=Mwa0_nE9H7A' Download a video or playlist at a specific quality,"youtube-dl --format ""best[height<=480]"" 'https://www.youtube.com/watch?v=oHg5SJYRHA0'" Download the audio from a video and convert it to an MP3,youtube-dl -x --audio-format mp3 'url' Download the best quality audio and video and merge them,youtube-dl -f bestvideo+bestaudio 'url' Download video(s) as MP4 files with custom filenames,"youtube-dl --format mp4 -o ""%(playlist_index)s-%(title)s by %(uploader)s on %(upload_date)s in %(playlist)s.%(ext)s"" 'url'" Download a particular language's subtitles along with the video,youtube-dl --sub-lang en --write-sub 'https://www.youtube.com/watch?v=Mwa0_nE9H7A' Download a playlist and extract MP3s from it,"youtube-dl -f ""bestaudio"" --continue --no-overwrites --ignore-errors --extract-audio --audio-format mp3 -o ""%(title)s.%(ext)s"" 'url_to_playlist'" Convert an A2 poster into 4 A4 pages,pdfposter --poster-size a2 input_file.pdf output_file.pdf Scale an A4 poster to A3 and then generate 2 A4 pages,pdfposter --scale 2 input_file.pdf output_file.pdf View documentation for the current command,tldr pamtotiff Start a snake game,snake4 Choose level,1|2|3|4|5 Navigate the snake,Up|Down|Left|Right arrow key Pause game, Quit game,q Show the high scores,snake4 --highscores Calculate the checksum for a file using a specific algorithm,xxhsum -H0|32|64|128 path/to/file Run benchmark,xxhsum -b List available runtimes for a web application,az webapp list-runtimes --os-type windows|linux Create a web application,az webapp up --name name --location location --runtime runtime List all web applications,az webapp list Delete a specific web application,az webapp delete --name name --resource-group resource_group Speak a phrase aloud,"espeak ""I like to ride my bike.""" Speak a file aloud,espeak -f path/to/file "Save output to a WAV audio file, rather than speaking it directly","espeak -w filename.wav ""It's GNU plus Linux""" Use a different voice,espeak -v voice Initialize the `pacman` keyring,sudo pacman-key --init Add the default Arch Linux keys,sudo pacman-key --populate archlinux List keys from the public keyring,pacman-key --list-keys Add the specified keys,sudo pacman-key --add path/to/keyfile.gpg Receive a key from a key server,"sudo pacman-key --recv-keys ""uid|name|email""" Print the fingerprint of a specific key,"pacman-key --finger ""uid|name|email""" Sign an imported key locally,"sudo pacman-key --lsign-key ""uid|name|email""" Remove a specific key,"sudo pacman-key --delete ""uid|name|email""" Search block devices by filesystem label,findfs LABEL=label Search by filesystem UUID,findfs UUID=uuid Search by partition label (GPT or MAC partition table),findfs PARTLABEL=partition_label Search by partition UUID (GPT partition table only),findfs PARTUUID=partition_uuid Open a file,helix path/to/file Open files and show them one next each other,helix --vsplit path/to/file1 path/to/file2 Show the tutorial to learn Helix (or access it within Helix by pressing `` and typing `:tutor`),helix --tutor Change the Helix theme,:theme theme_name Save and Quit,:wq Force-quit without saving,:q! Undo the last operation,u Search for a pattern in the file (press `n`/`N` to go to next/previous match),/search_pattern View documentation for the original command,tldr xzgrep Shift the lines in the input image by a randomized amount not exceeding s to the left or to the right,ppmshift s path/to/input_file.ppm > path/to/output_file.ppm Run a specific test plan in nongui mode,jmeter --nongui --testfile path/to/file.jmx Run a test plan in nongui mode using a specific log file,jmeter --nogui --testfile path/to/file.jmx --logfile path/to/logfile.jtl Run a test plan in nongui mode using a specific proxy,jmeter --nongui --testfile path/to/file.jmx --proxyHost 127.0.0.1 --proxyPort 8888 Run a test plan in nongui mode using a specific JMeter property,jmeter --jmeterproperty key='value' --nongui --testfile path/to/file.jmx List all known user records,userdbctl user Show details of a specific user,userdbctl user username List all known groups,userdbctl group Show details of a specific group,userdbctl group groupname List all services currently providing user/group definitions to the system,userdbctl services Start a REPL (interactive shell),sbt Create a new Scala project from an existing Giter8 template hosted on GitHub,sbt new scala/hello-world.g8 Compile and run all tests,sbt test Delete all generated files in the `target` directory,sbt clean Compile the main sources in `src/main/scala` and `src/main/java` directories,sbt compile Use the specified version of sbt,sbt -sbt-version version Use a specific jar file as the sbt launcher,sbt -sbt-jar path List all sbt options,sbt -h Generate the autocompletion script for your shell,gcrane completion shell_name Disable completion descriptions,gcrane completion shell_name --no-descriptions Load completions in your current shell session (bash/zsh),source <(gcrane completion bash/zsh)> Load completions in your current shell session (fish),gcrane completion fish | source Load completions for every new session (bash),gcrane completion bash > /etc/bash_completion.d/gcrane Load completions for every new session (zsh),"gcrane completion zsh > ""${fpath[1]}/_gcrane""" Load completions for every new session (fish),gcrane completion fish > ~/.config/fish/completions/gcrane.fish Display help,gcrane completion shell_name -h|--help Print lines of code in the current directory,loc Print lines of code in the target directory,loc path/to/directory Print lines of code with stats for individual files,loc --files Print lines of code without .gitignore (etc.) files (e.g. two -u flags will additionally count hidden files and dirs),loc -u Start an access point,sudo hostapd path/to/hostapd.conf "Start an access point, forking into the background",sudo hostapd -B path/to/hostapd.conf Automatically detect and remove the margin for each page in a PDF file,pdfcrop path/to/input_file.pdf path/to/output_file.pdf Set the margins of each page to a specific value,pdfcrop path/to/input_file.pdf --margins 'left top right bottom' path/to/output_file.pdf "Set the margins of each page to a specific value, using the same value for left, top, right and bottom",pdfcrop path/to/input_file.pdf --margins 300 path/to/output_file.pdf Use a user-defined bounding box for cropping instead of automatically detecting it,pdfcrop path/to/input_file.pdf --bbox 'left top right bottom' path/to/output_file.pdf Use different user-defined bounding boxes for odd and even pages,pdfcrop path/to/input_file.pdf --bbox-odd 'left top right bottom' --bbox-even 'left top right bottom' path/to/output_file.pdf Automatically detect margins using a lower resolution for improved performance,pdfcrop path/to/input_file.pdf --resolution 72 path/to/output_file.pdf Run maintenance on each of a list of repositories stored in the `maintenance.repo` user configuration variable,git for-each-repo --config=maintenance.repo maintenance run Run `git pull` on each repository listed in a global configuration variable,git for-each-repo --config=global_configuration_variable pull Cut a file,xcv x input_file Copy a file,xcv c input_file Paste a file,xcv v output_file List files available for pasting,xcv l Change the line endings of a file,unix2dos path/to/file Create a copy with DOS-style line endings,unix2dos -n|--newfile path/to/file path/to/new_file Display file information,unix2dos -i|--info path/to/file Keep/add/remove Byte Order Mark,unix2dos --keep-bom|add-bom|remove-bom path/to/file Get an IP address for the `eth0` interface,sudo dhclient eth0 Release an IP address for the `eth0` interface,sudo dhclient -r eth0 Convert tldr-pages files and save into the same directories,md-to-clip path/to/page1.md path/to/page2.md ... Convert tldr-pages files and save into a specific directory,md-to-clip --output-directory path/to/directory path/to/page1.md path/to/page2.md ... Convert a tldr-page file to `stdout`,md-to-clip --no-file-save <(echo 'page-content') Convert tldr-pages files while recognizing additional placeholders from a specific config,md-to-clip --special-placeholder-config path/to/config.yaml path/to/page1.md path/to/page2.md ... Display help,md-to-clip --help Display version,md-to-clip --version Connect to a local database on the default port (`mongodb://localhost:27017`),mongo Connect to a database,mongo --host host --port port db_name Authenticate using the specified username on the specified database (you will be prompted for a password),mongo --host host --port port --username username --authenticationDatabase authdb_name db_name Evaluate a JavaScript expression on a database,mongo --eval 'JSON.stringify(db.foo.findOne())' db_name Show why a Yarn package is installed,yarn-why package Compile a PDF document,xetex source.tex "Compile a PDF document, specifying an output directory",xetex -output-directory=path/to/directory source.tex "Compile a PDF document, exiting if errors occur",xetex -halt-on-error source.tex Process macros in a file,m4 path/to/file Define a macro before processing files,m4 -Dmacro_name=macro_value path/to/file Decode an APK file,apktool d path/to/file.apk Build an APK file from a directory,apktool b path/to/directory Install and store a framework,apktool if path/to/framework.apk View documentation for the original command,tldr dnf config-manager Access your account,b2 authorize_account key_id List the existing buckets in your account,b2 list_buckets "Create a bucket, provide the bucket name, and access type (e.g. allPublic or allPrivate)",b2 create_bucket bucket_name allPublic|allPrivate "Upload a file. Choose a file, bucket, and a folder",b2 upload_file bucket_name path/to/file folder_name Upload a source directory to a Backblaze B2 bucket destination,b2 sync path/to/source_file bucket_name Copy a file from one bucket to another bucket,b2 copy-file-by-id path/to/source_file_id destination_bucket_name path/to/b2_file Show the files in your bucket,b2 ls bucket_name "Remove a ""folder"" or a set of files matching a pattern",b2 rm path/to/folder|pattern Map all greyscale values of the input image to all colors between the two specified colors,pgmtoppm -black red --white blue path/to/input.pgm > path/to/output.ppm Map all greyscale values of the input image to colors according to the specified colormap,pgmtoppm -map path/to/colormap.ppm path/to/input.pgm > path/to/output.ppm Enable ufw,ufw enable Disable ufw,ufw disable "Show ufw rules, along with their numbers",ufw status numbered Allow incoming traffic on port 5432 on this host with a comment identifying the service,"ufw allow 5432 comment ""Service""" "Allow only TCP traffic from 192.168.0.4 to any address on this host, on port 22",ufw allow proto tcp from 192.168.0.4 to any port 22 Deny traffic on port 80 on this host,ufw deny 80 Deny all UDP traffic to ports in range 8412:8500,ufw deny proto udp from any to any port 8412:8500 Delete a particular rule. The rule number can be retrieved from the `ufw status numbered` command,ufw delete rule_number Connect to a serial console,az serial-console connect --resource-group Resource_Group_Name --name Virtual_Machine_Name Terminate the connection,-] Report only statistics that have a level of concern greater than 0,git sizer Report all statistics,git sizer -v See additional options,git sizer -h Display signatures for specified device,sudo wipefs /dev/sdX Wipe all available signature types for a specific device with no recursion into partitions,sudo wipefs --all /dev/sdX Wipe all available signature types for the device and partitions using a glob pattern,sudo wipefs --all /dev/sdX* Perform dry run,sudo wipefs --all --no-act /dev/sdX "Force wipe, even if the filesystem is mounted",sudo wipefs --all --force /dev/sdX Open the specified mailbox,neomutt -f path/to/mailbox Start writing an email and specify a subject and a `cc` recipient,"neomutt -s ""subject"" -c cc@example.com recipient@example.com" Send an email with files attached,neomutt -a path/to/file1 path/to/file2 ... -- recipient@example.com Specify a file to include as the message body,neomutt -i path/to/file recipient@example.com "Specify a draft file containing the header and the body of the message, in RFC 5322 format",neomutt -H path/to/file recipient@example.com Import a JSON file into a specific collection,mongoimport --file=path/to/file.json --uri=mongodb_uri --collection=collection_name "Import a CSV file, using the first line of the file to determine field names",mongoimport --type=csv --file=path/to/file.csv --db=database_name --collection=collection_name "Import a JSON array, using each element as a separate document",mongoimport --jsonArray --file=path/to/file.json Import a JSON file using a specific mode and a query to match existing documents,"mongoimport --file=path/to/file.json --mode=delete|merge|upsert --upsertFields=""field1,field2,...""" "Import a CSV file, reading field names from a separate CSV file and ignoring fields with empty values",mongoimport --type=csv --file=path/to/file.csv --fieldFile=path/to/field_file.csv --ignoreBlanks Display help,mongoimport --help Start an interactive shell session,zsh Execute specific [c]ommands,"zsh -c ""echo Hello world""" Execute a specific script,zsh path/to/script.zsh Check a specific script for syntax errors without executing it,zsh --no-exec path/to/script.zsh Execute specific commands from `stdin`,echo Hello world | zsh "Execute a specific script, printing each command in the script before executing it",zsh --xtrace path/to/script.zsh "Start an interactive shell session in verbose mode, printing each command before executing it",zsh --verbose Execute a specific command inside `zsh` with disabled glob patterns,noglob command Display a specific issue,gh issue view issue_number Display a specific issue in the default web browser,gh issue view issue_number --web Create a new issue in the default web browser,gh issue create --web List the last 10 issues with the `bug` label,"gh issue list --limit 10 --label ""bug""" List closed issues made by a specific user,gh issue list --state closed --author username "Display the status of issues relevant to the user, in a specific repository",gh issue status --repo owner/repository Reopen a specific issue,gh issue reopen issue_number Convert a PDF file to an HTML file,pdftohtml path/to/file.pdf path/to/output_file.html Ignore images in the PDF file,pdftohtml -i path/to/file.pdf path/to/output_file.html Generate a single HTML file that includes all PDF pages,pdftohtml -s path/to/file.pdf path/to/output_file.html Convert a PDF file to an XML file,pdftohtml -xml path/to/file.pdf path/to/output_file.xml "Connect to the database. By default, it connects to the local socket using port 5432 with the currently logged in user",psql database "Connect to the database on given server host running on given port with given username, without a password prompt",psql -h host -p port -U username database Connect to the database; user will be prompted for password,psql -h host -p port -U username -W database Execute a single SQL query or PostgreSQL command on the given database (useful in shell scripts),psql -c 'query' database Execute commands from a file on the given database,psql database -f file.sql Fork and clone a GitHub repository by its URL,git fork https://github.com/tldr-pages/tldr Fork and clone a GitHub repository by its slug,git fork tldr-pages/tldr Display the value associated with a specified key,etcdctl get my/key Store a key-value pair,etcdctl put my/key my_value Delete a key-value pair,etcdctl del my/key "Store a key-value pair, reading the value from a file",etcdctl put my/file < path/to/file.txt Save a snapshot of the etcd keystore,etcdctl snapshot save path/to/snapshot.db Restore a snapshot of an etcd keystore (restart the etcd server afterwards),etcdctl snapshot restore path/to/snapshot.db Add a user,etcdctl user add my_user Watch a key for changes,etcdctl watch my/key List all devices,kdeconnect-cli --list-devices List available (paired and reachable) devices,kdeconnect-cli --list-available "Request pairing with a specific device, specifying its ID",kdeconnect-cli --pair --device device_id "Ring a device, specifying its name","kdeconnect-cli --ring --name ""device_name""" "Share an URL or file with a paired device, specifying its ID",kdeconnect-cli --share url|path/to/file --device device_id Send an SMS with an optional attachment to a specific number,"kdeconnect-cli --name ""device_name"" --send-sms ""message"" --destination phone_number --attachment path/to/file" Unlock a specific device,"kdeconnect-cli --name ""device_name"" --unlock" Simulate a key press on a specific device,"kdeconnect-cli --name ""device_name"" --send-keys key" Create a new group,sudo groupadd group_name Create a new system group,sudo groupadd --system group_name Create a new group with the specific groupid,sudo groupadd --gid id group_name Display a table of available Virtual Machines,az vm list --output table Create a virtual machine using the default Ubuntu image and generate SSH keys,az vm create --resource-group rg --name vm_name --image UbuntuLTS --admin-user azureuser --generate-ssh-keys Stop a Virtual Machine,az vm stop --resource-group rg --name vm_name Deallocate a Virtual Machine,az vm deallocate --resource-group rg --name vm_name Start a Virtual Machine,az vm start --resource-group rg --name vm_name Restart a Virtual Machine,az vm restart --resource-group rg --name vm_name List VM images available in the Azure Marketplace,az vm image list Start a new game,blockout2 Navigate the current piece on a 2D plane,Up|Down|Left|Right arrow key Rotate the piece on its axis,Q|W|E|A|S|D Hard drop the current piece, Pause/unpause the game,p Display all settings for the current terminal,stty --all Set the number of rows or columns,stty rows|cols count Get the actual transfer speed of a device,stty --file path/to/device_file speed Reset all modes to reasonable values for the current terminal,stty sane Install a service,update-rc.d mysql defaults Enable a service,update-rc.d mysql enable Disable a service,update-rc.d mysql disable Forcibly remove a service,update-rc.d -f mysql remove Start Engrampa,engrampa Open specific archives,engrampa path/to/archive1.tar path/to/archive2.tar ... Archive specific files and/or directories recursively,engrampa --add-to=path/to/compressed.tar path/to/file_or_directory1 path/to/file_or_directory2 ... Extract files and/or directories from archives to a specific path,engrampa --extract-to=path/to/directory path/to/archive1.tar path/to/archive2.tar ... Use the (default) Memcheck tool to show a diagnostic of memory usage by `program`,valgrind program Use Memcheck to report all possible memory leaks of `program` in full detail,valgrind --leak-check=full --show-leak-kinds=all program Use the Cachegrind tool to profile and log CPU cache operations of `program`,valgrind --tool=cachegrind program Use the Massif tool to profile and log heap memory and stack usage of `program`,valgrind --tool=massif --stacks=yes program Recompress a directory of FLAC files,reflac path/to/directory Enable maximum compression (very slow),reflac --best path/to/directory Display filenames as they are processed,reflac --verbose path/to/directory Recurse into subdirectories,reflac --recursive path/to/directory Preserve file modification times,reflac --preserve path/to/directory View documentation for the original command,tldr shnsplit Convert a PBM image into a PTX file,pbmtoptx path/to/image.pbm > path/to/output.ptx Check the Go package in the current directory,go vet Check the Go package in the specified path,go vet path/to/file_or_directory List available checks that can be run with go vet,go tool vet help View details and flags for a particular check,go tool vet help check_name Display offending lines plus N lines of surrounding context,go vet -c=N Output analysis and errors in JSON format,go vet -json Trace the route to a host,tcptraceroute host Specify the destination port and packet length in bytes,tcptraceroute host destination_port packet_length Specify the local source port and source address,tcptraceroute host -p source_port -s source_address Set the first and maximum TTL,tcptraceroute host -f first_ttl -m max_ttl Specify the wait time and number of queries per hop,tcptraceroute host -w wait_time -q number_of_queries Specify the interface,tcptraceroute host -i interface Create a logical volume of 10 gigabytes in the volume group vg1,lvcreate -L 10G vg1 Create a 1500 megabyte linear logical volume named mylv in the volume group vg1,lvcreate -L 1500 -n mylv vg1 Create a logical volume called mylv that uses 60% of the total space in volume group vg1,lvcreate -l 60%VG -n mylv vg1 Create a logical volume called mylv that uses all the unallocated space in the volume group vg1,lvcreate -l 100%FREE -n mylv vg1 Display a markdown reference of all `gh` commands,gh reference "Perform checks, create a `.crate` file and upload it to the registry",cargo publish "Perform checks, create a `.crate` file but don't upload it (equivalent of `cargo package`)",cargo publish --dry-run Use the specified registry (registry names can be defined in the configuration - the default is ),cargo publish --registry name Display a [f]orecast using metric [u]nits for the next seven days for a specific [l]ocation,"ansiweather -u metric -f 7 -l Rzeszow,PL" Display a [F]orecast for the next five days showing [s]ymbols and [d]aylight data for your current location,ansiweather -F -s true -d true Display today's [w]ind and [h]umidity data for your current location,ansiweather -w true -h true Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc rdp 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Take a screenshot after waiting the for specified number of seconds,nxc rdp 192.168.178.2 -u username -p password --screenshot --screentime 10 Take a screenshot in the specified resolution,nxc rdp 192.168.178.2 -u username -p password --screenshot --res 1024x768 Take a screenshot of the RDP login prompt if Network Level Authentication is disabled,nxc rdp 192.168.178.2 -u username -p password --nla-screenshot Merge two (or more) PDFs,pdfjam path/to/file1.pdf path/to/file2.pdf --outfile path/to/output_file.pdf Merge the first page of each file together,pdfjam files... 1 --outfile path/to/output_file.pdf Merge subranges from two PDFs,"pdfjam path/to/file1.pdf 3-5,1 path/to/file2.pdf 4-6 --outfile path/to/output_file.pdf" Sign an A4 page (adjust delta to height for other formats) with a scanned signature by overlaying them,"pdfjam path/to/file.pdf path/to/signature --fitpaper true --outfile path/to/signed.pdf --nup ""1x2"" --delta ""0 -842pt""" Arrange the pages from the input file into a fancy 2x2 grid,pdfjam path/to/file.pdf --nup 2x2 --suffix 4up --preamble '\usepackage{fancyhdr} \pagestyle{fancy}' Reverse the order of pages within each given file and concatenate them,pdfjam files... last-1 --suffix reversed Run a subshell asynchronously,coproc { command1; command2; ...; } Create a coprocess with a specific name,coproc name { command1; command2; ...; } Write to a specific coprocess `stdin`,"echo ""input"" >&""${name[1]}""" Read from a specific coprocess `stdout`,"read variable <&""${name[0]}""" Create a coprocess which repeatedly reads `stdin` and runs some commands on the input,coproc name { while read line; do command1; command2; ...; done } Create and use a coprocess running `bc`,"coproc BC { bc --mathlib; }; echo ""1/3"" >&""${BC[1]}""; read output <&""${BC[0]}""; echo ""$output""" Execute a Sui subcommand,sui subcommand Build tools for a smart contract,sui move subcommand "Publish smart contracts, get object information, execute transactions and more",sui client subcommand Start a local network,sui start Update from source,cargo install --locked --git https://github.com/MystenLabs/sui.git --branch testnet sui View documentation for the original command,tldr npm run Merge all commits from a specific branch into the current branch as a single commit,git squash source_branch Squash all commits starting with a specific commit on the current branch,git squash commit Squash the `n` latest commits and commit with a message,"git squash HEAD~n ""message""" Squash the `n` latest commits and commit concatenating all individual messages,git squash --squash-msg HEAD~n Render an image or animated GIF,viu path/to/file Render an image or GIF from the internet using `curl`,curl -s https://example.com/image.png | viu - Render an image with a transparent background,viu -t path/to/file Render an image with a specific width and height in pixels,viu -w width -h height path/to/file Render an image or GIF and display its file name,viu -n path/to/file Render an image directly in the terminal,chafa path/to/file Render an image with 24-bit [c]olor,chafa -c full path/to/file Improve image rendering with small color palettes using dithering,chafa -c 16 --dither ordered path/to/file "Render an image, making it appear pixelated",chafa --symbols vhalf path/to/file Render a monochrome image with only braille characters,chafa -c none --symbols braille path/to/file Create a new receipt rule set,aws ses create-receipt-rule-set --rule-set-name rule_set_name --generate-cli-skeleton Describe the active receipt rule set,aws ses describe-active-receipt-rule-set --generate-cli-skeletion Describe a specific receipt rule,aws ses describe-receipt-rule --rule-set-name rule_set_name --rule-name rule_name --generate-cli-skeleton List all receipt rule sets,aws ses list-receipt-rule-sets --starting-token token_string --max-items integer --generate-cli-skeleton Delete a specific receipt rule set (the currently active rule set cannot be deleted),aws ses delete-receipt-rule-set --rule-set-name rule_set_name --generate-cli-skeleton Delete a specific receipt rule,aws ses delete-receipt-rule --rule-set-name rule_set_name --rule-name rule_name --generate-cli-skeleton Send an email,"aws ses send-email --from from_address --destination ""ToAddresses=addresses"" --message ""Subject={Data=subject_text,Charset=utf8},Body={Text={Data=body_text,Charset=utf8},Html={Data=message_body_containing_html,Charset=utf8""" Display help for a specific SES subcommand,aws ses subcommand help Compress specific files,compress path/to/file1 path/to/file2 ... "Compress specific files, ignore non-existent ones",compress -f path/to/file1 path/to/file2 ... Specify the maximum compression bits (9-16 bits),compress -b bits Write to `stdout` (no files are changed),compress -c path/to/file Decompress files (functions like `uncompress`),compress -d path/to/file Display compression percentage,compress -v path/to/file Run tests for a configuration file,smalltalkci path/to/.smalltalk.ston Run tests for the `.smalltalk.ston` configuration in the current directory,smalltalkci Debug tests in headful mode (show VM window),smalltalkci --headful Download and prepare a well-known smalltalk image for the tests,smalltalkci --smalltalk Squeak64-Trunk Specify a custom Smalltalk image and VM,smalltalkci --image path/to/Smalltalk.image --vm path/to/vm Clean up caches and delete builds,smalltalkci --clean Add symlinks to TeX Live files,sudo tlmgr path add Remove symlinks to TeX Live files,sudo tlmgr path remove Start Drawing,drawing Open specific files,drawing path/to/image1 path/to/image2 ... Open specific files in a new window,drawing --new-window path/to/image1 path/to/image2 ... Convert an STL file to an OBJ file,meshlabserver -i input.stl -o output.obj "Convert a WRL file to a OFF file, including the vertex and face normals in the output mesh",meshlabserver -i input.wrl -o output.off -om vn fn Dump a list of all the available processing filters into a file,meshlabserver -d path/to/file Process a 3D file using a filter script created in the MeshLab GUI (Filters > Show current filter script > Save Script),meshlabserver -i input.ply -o output.ply -s filter_script.mlx "Process a 3D file using a filter script, writing the output of the filters into a log file",meshlabserver -i input.x3d -o output.x3d -s filter_script.mlx -l logfile Show information about all network interfaces,ip link Show information about a specific network interface,ip link show ethN Bring a network interface up or down,ip link set ethN up|down Give a meaningful name to a network interface,"ip link set ethN alias ""LAN Interface""" Change the MAC address of a network interface,ip link set ethN address ff:ff:ff:ff:ff:ff Change the MTU size for a network interface to use jumbo frames,ip link set ethN mtu 9000 Add a torrent file or magnet link to Transmission and download to a specified directory,transmission-remote hostname -a torrent|url -w /path/to/download_directory Change the default download directory,transmission-remote hostname -w /path/to/download_directory List all torrents,transmission-remote hostname --list "Start torrent 1 and 2, stop torrent 3","transmission-remote hostname -t ""1,2"" --start -t 3 --stop" "Remove torrent 1 and 2, and also delete local data for torrent 2",transmission-remote hostname -t 1 --remove -t 2 --remove-and-delete Stop all torrents,transmission-remote hostname -t all --stop Move torrents 1-10 and 15-20 to a new directory (which will be created if it does not exist),"transmission-remote hostname -t ""1-10,15-20"" --move /path/to/new_directory" Show all groups with their statuses and number of parallel jobs,pueue group Add a custom group,"pueue group --add ""group_name""" Remove a group and move its tasks to the default group,"pueue group --remove ""group_name""" Convert a PBM image to an encapsulated PostScript style preview bitmap,pbmtoepsi path/to/image.pbm > path/to/output.bmp Produce a quadratic output image with the specified resolution,pbmtoepsi -dpi 144 path/to/image.pbm > path/to/output.bmp Produce an output image with the specified horizontal and vertical resolution,pbmtoepsi -dpi 72x144 path/to/image.pbm > path/to/output.bmp Only create a boundary box,pbmtoepsi -bbonly path/to/image.pbm > path/to/output.bmp Run a process that can live beyond the terminal,nohup command argument1 argument2 ... Launch `nohup` in background mode,nohup command argument1 argument2 ... & Run a shell script that can live beyond the terminal,nohup path/to/script.sh & Run a process and write the output to a specific file,nohup command argument1 argument2 ... > path/to/output_file & Publish a temperature value of 32 on the topic `sensors/temperature` to 192.168.1.1 (defaults to `localhost`) with Quality of Service (`QoS`) set to 1,mosquitto_pub -h 192.168.1.1 -t sensors/temperature -m 32 -q 1 Publish timestamp and temperature data on the topic `sensors/temperature` to a remote host on a non-standard port,"mosquitto_pub -h 192.168.1.1 -p 1885 -t sensors/temperature -m ""1266193804 32""" Publish light switch status and retain the message on the topic `switches/kitchen_lights/status` to a remote host because there may be a long period of time between light switch events,"mosquitto_pub -r -h ""iot.eclipse.org"" -t switches/kitchen_lights/status -m ""on""" Send the contents of a file (`data.txt`) as a message and publish it to `sensors/temperature` topic,mosquitto_pub -t sensors/temperature -f data.txt "Send the contents of a file (`data.txt`), by reading from `stdin` and send the entire input as a message and publish it to `sensors/temperature` topic",mosquitto_pub -t sensors/temperature -s < data.txt Read newline delimited data from `stdin` as a message and publish it to `sensors/temperature` topic,echo data.txt | mosquitto_pub -t sensors/temperature -l Print the 5th item from a line (starting from 0),choose 4 "Print the first, 3rd, and 5th item from a line, where items are separated by ':' instead of whitespace",choose --field-separator ':' 0 2 4 "Print everything from the 2nd to 5th item on the line, including the 5th",choose 1:4 "Print everything from the 2nd to 5th item on the line, excluding the 5th",choose --exclusive 1:4 Print the beginning of the line to the 3rd item,choose :2 Print all items from the beginning of the line until the 3rd item (exclusive),choose --exclusive :2 Print all items from the 3rd to the end of the line,choose 2: Print the last item from a line,choose -1 Show all mounted filesystems,mount Mount a device to a directory,mount -t filesystem_type path/to/device_file path/to/target_directory Create a specific directory if it does not exist and mount a device to it,mount --mkdir path/to/device_file path/to/target_directory Mount a device to a directory for a specific user,"mount -o uid=user_id,gid=group_id path/to/device_file path/to/target_directory" Mount a CD-ROM device (with the filetype ISO9660) to `/cdrom` (readonly),mount -t iso9660 -o ro /dev/cdrom /cdrom Mount all the filesystem defined in `/etc/fstab`,mount -a Mount a specific filesystem described in `/etc/fstab` (e.g. `/dev/sda1 /my_drive ext2 defaults 0 2`),mount /my_drive Mount a directory to another directory,mount --bind path/to/old_dir path/to/new_dir Open a new file in JOE,joe Open a specific file,joe path/to/file "Open a specific file, positioning the cursor at the specified line",joe +line path/to/file Open a specific file in read-only mode,joe -rdonly path/to/file Concatenate `warts` files into one,sc_wartscat -o path/to/output.warts path/to/file1.warts path/to/file2.warts ... Recursively search the current directory for a regular expression,rg regular_expression "Search for regular expressions recursively in the current directory, including hidden files and files listed in `.gitignore`",rg --no-ignore --hidden regular_expression Search for a regular expression only in a subset of directories,rg regular_expression set_of_subdirs Search for a regular expression in files matching a glob (e.g. `README.*`),rg regular_expression --glob glob Search for filenames that match a regular expression,rg --files | rg regular_expression Only list matched files (useful when piping to other commands),rg --files-with-matches regular_expression Show lines that do not match the given regular expression,rg --invert-match regular_expression Search a literal string pattern,rg --fixed-strings -- string Create bucket in a specific region,aws s3api create-bucket --bucket bucket_name --region region --create-bucket-configuration LocationConstraint=region Delete a bucket,aws s3api delete-bucket --bucket bucket_name List buckets,aws s3api list-buckets List the objects inside of a bucket and only show each object's key and size,"aws s3api list-objects --bucket bucket_name --query 'Contents[].{Key: Key, Size: Size}'" Add an object to a bucket,aws s3api put-object --bucket bucket_name --key object_key --body path/to/file Download object from a bucket (The output file is always the last argument),aws s3api get-object --bucket bucket_name --key object_key path/to/output_file Apply an Amazon S3 bucket policy to a specified bucket,aws s3api put-bucket-policy --bucket bucket_name --policy file://path/to/bucket_policy.json Download the Amazon S3 bucket policy from a specified bucket,aws s3api get-bucket-policy --bucket bucket_name --query Policy --output json|table|text|yaml|yaml-stream > path/to/bucket_policy Create an ISO image from the given source directory,genisoimage -o myimage.iso path/to/source_directory Create an ISO image with files larger than 2GiB by reporting a smaller apparent size for ISO9660 filesystems,genisoimage -o -allow-limited-size myimage.iso path/to/source_directory Add a new attachment to an existing PDF file,pdfattach path/to/input.pdf path/to/file_to_attach path/to/output.pdf Replace attachment with same name if it exists,pdfattach -replace path/to/input.pdf path/to/file_to_attach path/to/output.pdf Display help,pdfattach -h Display version,pdfattach -v Convert a Netpbm image into an unanimated GIF image,pamtogif path/to/image.pam > path/to/output.gif Mark the specified color as transparent in the output GIF file,pamtogif -transparent color path/to/image.pam > path/to/output.gif Include the specified text as a comment in the output GIF file,"pamtogif -comment ""Hello World!"" path/to/image.pam > path/to/output.gif" Run a program in a new session,setsid program Run a program in a new session discarding the resulting output and error,setsid program > /dev/null 2>&1 Run a program creating a new process,setsid --fork program Return the exit code of a program as the exit code of setsid when the program exits,setsid --wait program Run a program in a new session setting the current terminal as the controlling terminal,setsid --ctty program Display changes in a histogram,diff path/to/file1 path/to/file2 | diffstat "Display inserted, deleted and modified changes as a table",diff path/to/file1 path/to/file2 | diffstat -t "List all virtual private servers, or instances",aws lightsail get-instances List all bundles (instance plans),aws lightsail list-bundles "List all available instance images, or blueprints",aws lightsail list-blueprints Create an instance,aws lightsail create-instances --instance-names name --availability-zone region --bundle-id nano_2_0 --blueprint-id blueprint_id Print the state of a specific instance,aws lightsail get-instance-state --instance-name name Stop a specific instance,aws lightsail stop-instance --instance-name name Delete a specific instance,aws lightsail delete-instance --instance-name name Terminate a program using the default SIGTERM (terminate) signal,kill process_id List available signal names (to be used without the `SIG` prefix),kill -l Terminate a program using the SIGHUP (hang up) signal. Many daemons will reload instead of terminating,kill -1|HUP process_id Terminate a program using the SIGINT (interrupt) signal. This is typically initiated by the user pressing `Ctrl + C`,kill -2|INT process_id Signal the operating system to immediately terminate a program (which gets no chance to capture the signal),kill -9|KILL process_id "Signal the operating system to pause a program until a SIGCONT (""continue"") signal is received",kill -17|STOP process_id Send a `SIGUSR1` signal to all processes with the given GID (group id),kill -SIGUSR1 -group_id "Garbage collect from the cache, keeping only versions referenced by the current workspace",dvc gc --workspace "Garbage collect from the cache, keeping only versions referenced by branch, tags, and commits",dvc gc --all-branches --all-tags --all-commits "Garbage collect from the cache, including the default cloud remote storage (if set)",dvc gc --all-commits --cloud "Garbage collect from the cache, including a specific cloud remote storage",dvc gc --all-commits --cloud --remote remote_name Show the configuration and status of all ZFS zpools,zpool status Check a ZFS pool for errors (verifies the checksum of EVERY block). Very CPU and disk intensive,zpool scrub pool_name List zpools available for import,zpool import Import a zpool,zpool import pool_name Export a zpool (unmount all filesystems),zpool export pool_name Show the history of all pool operations,zpool history pool_name Create a mirrored pool,zpool create pool_name mirror disk1 disk2 mirror disk3 disk4 Add a cache (L2ARC) device to a zpool,zpool add pool_name cache cache_disk Get all contexts in the default kubeconfig file,kubectl config get-contexts Get all clusters/contexts/users in a custom kubeconfig file,kubectl config get-clusters|get-contexts|get-users --kubeconfig path/to/kubeconfig.yaml Get the current context,kubectl config current-context Switch to another context,kubectl config use|use-context context_name Delete clusters/contexts/users,kubectl config delete-cluster|delete-context|delete-user cluster|context|user Permanently add custom kubeconfig files,"export KUBECONFIG=""$HOME.kube/config:path/to/custom/kubeconfig.yaml"" kubectl config get-contexts" Display help for a subcommand,bundletool help subcommand Generate APKs from an application bundle (prompts for keystore password),bundletool build-apks --bundle path/to/bundle.aab --ks path/to/key.keystore --ks-key-alias key_alias --output path/to/file.apks Generate APKs from an application bundle giving the keystore password,bundletool build-apks --bundle path/to/bundle.aab --ks path/to/key.keystore --ks-key-alias key_alias –ks-pass pass:the_password --output path/to/file.apks Generate APKs including only one single APK for universal usage,bundletool build-apks --bundle path/to/bundle.aab --mode universal --ks path/to/key.keystore --ks-key-alias key_alias --output path/to/file.apks Install the right combination of APKs to an emulator or device,bundletool install-apks --apks path/to/file.apks Estimate the download size of an application,bundletool get-size total --apks path/to/file.apks Generate a device specification JSON file for an emulator or device,bundletool get-device-spec --output path/to/file.json Verify a bundle and display detailed information about it,bundletool validate --bundle path/to/bundle.aab Convert an SBIG CCDOPS image file to PGM,sbigtopgm path/to/input_file.sbig > path/to/output.pgm Render a PNG image with a filename based on the input filename and output format (uppercase -O),twopi -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),twopi -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",twopi -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | twopi -T gif > path/to/image.gif" Display help,twopi -? Start a scrub,sudo btrfs scrub start path/to/btrfs_mount Show the status of an ongoing or last completed scrub,sudo btrfs scrub status path/to/btrfs_mount Cancel an ongoing scrub,sudo btrfs scrub cancel path/to/btrfs_mount Resume a previously cancelled scrub,sudo btrfs scrub resume path/to/btrfs_mount "Start a scrub, but wait until the scrub finishes before exiting",sudo btrfs scrub start -B path/to/btrfs_mount Start a scrub in quiet mode (does not print errors or statistics),sudo btrfs scrub start -q path/to/btrfs_mount "List the name, UUID, state, persistence type, autostart status, capacity, space allocated, and space available for the storage pool specified by name or UUID (determine using `virsh pool-list`)",virsh pool-info --pool name|uuid Create a [N]ew certificate database in the current [d]irectory,certutil -N -d . List all certificates in a database,certutil -L -d . List all private [K]eys in a database specifying the password [f]ile,certutil -K -d . -f path/to/password_file.txt "[A]dd the signed certificate to the requesters database specifying a [n]ickname, [t]rust attributes and an [i]nput CRT file","certutil -A -n ""server_certificate"" -t "",,"" -i path/to/file.crt -d ." Add subject alternative names to a given [c]ertificate with a specific key size ([g]),"certutil -S -f path/to/password_file.txt -d . -t "",,"" -c ""server_certificate"" -n ""server_name"" -g 2048 -s ""CN=common_name,O=organization""" Print information about all the commands in the acct (record file),lastcomm Display commands executed by a given user,lastcomm --user user Display information about a given command executed on the system,lastcomm --command command Display information about commands executed on a given terminal,lastcomm --tty terminal_name Check the currently running kernel for Spectre or Meltdown,sudo spectre-meltdown-checker Check the currently running kernel and show an explanation of the actions to take to mitigate a vulnerability,sudo spectre-meltdown-checker --explain Check for specific variants (defaults to all),sudo spectre-meltdown-checker --variant 1|2|3|3a|4|l1tf|msbds|mfbds|mlpds|mdsum|taa|mcespc|srbds Display output using a specific output format,sudo spectre-meltdown-checker --batch text|json|nrpe|prometheus|short Don't use the `/sys` interface even if present,sudo spectre-meltdown-checker --no-sysfs Check a non-running kernel,sudo spectre-meltdown-checker --kernel path/to/kernel_file Play a MIDI file,fluidsynth --audio-driver=pipewire|pulseaudio path/to/soundfont.sf2 path/to/file.midi Disable a virtual host,sudo a2dissite virtual_host Don't show informative messages,sudo a2dissite --quiet virtual_host "Generate a UUIDv1 (based on time and system's hardware address, if present)",uuid Generate a UUIDv4 (based on random data),uuid -v 4 Generate multiple UUIDv4 identifiers at once,uuid -v 4 -n number_of_uuids Generate a UUIDv4 and specify the output format,uuid -v 4 -F BIN|STR|SIV Generate a UUIDv4 and write the output to a file,uuid -v 4 -o path/to/file Generate a UUIDv5 (based on the supplied object name) with a specified namespace prefix,uuid -v 5 ns:DNS|URL|OID|X500 object_name Decode a given UUID,uuid -d uuid "Read a PPM image from the input file, convert it to a Berkeley YUV image and store it in the specified output file",ppmtoeyuv path/to/input_file.ppm > path/to/output_file.eyuv "Detect protection on a single [u]RL, optionally use verbose output",whatwaf --url https://example.com --verbose Detect protection on a [l]ist of URLs in parallel from a file (one URL per line),whatwaf --threads number --list path/to/file Send requests through a proxy and use custom payload list from a file (one payload per line),whatwaf --proxy http://127.0.0.1:8080 --pl path/to/file -u https://example.com Send requests through Tor (Tor must be installed) using custom [p]ayloads (comma-separated),"whatwaf --tor --payloads 'payload1,payload2,...' -u https://example.com" "Use a random user-agent, set throttling and timeout, send a [P]OST request, and force HTTPS connection",whatwaf --ra --throttle seconds --timeout seconds --post --force-ssl -u http://example.com List all WAFs that can be detected,whatwaf --wafs List all available tamper scripts,whatwaf --tampers Compile a source file to a native binary and link with packages,"ocamlfind ocamlopt -package package1,package2 -linkpkg -o path/to/executable path/to/source.ml" Compile a source file to a bytecode binary and link with packages,"ocamlfind ocamlc -package package1,package2 -linkpkg -o path/to/executable path/to/source.ml" Cross-compile for a different platform,ocamlfind -toolchain cross-toolchain ocamlopt -o path/to/executable path/to/source.ml Update the local index,bpkg update Install a package globally,bpkg install --global package Install a package in a subdirectory of the current directory,bpkg install package Install a specific version of a package globally,bpkg install package@version -g Show details about a specific package,bpkg show package "Run a command, optionally specifying its arguments",bpkg run command argument1 argument2 ... Start a REPL (interactive shell) using a specific Scala and JVM version,scala-cli --scala 3.1.0 --jvm temurin:17 Compile and run a Scala script,scala-cli run path/to/script.scala Compile and test a Scala script,scala-cli test path/to/script.scala "Format a Scala script, updating the file in-place",scala-cli fmt path/to/script.scala Generate files for IDE (VSCode and IntelliJ) support,scala-cli setup-ide path/to/script.scala Index paths recursively for the very first run,scd -ar path/to/directory Change to a specific directory,scd path/to/directory Change to a path matching specific patterns,"scd ""pattern1 pattern2 ...""" Show selection menu and ranking of 20 most likely directories,scd -v Add a specific alias for the current directory,scd --alias=word Change to a directory using a specific alias,scd word Make a backup of one or more packages,tlmgr backup package1 package2 ... Make a backup of all packages,tlmgr backup --all Make a backup to a custom directory,tlmgr backup package --backupdir path/to/backup_directory Remove a backup of one or more packages,tlmgr backup clean package1 package2 ... Remove all backups,tlmgr backup clean --all Generate all possible strings that match a regular expression,exrex 'regular_expression' Generate a random string that matches a regular expression,exrex --random 'regular_expression' Generate at most 100 strings that match a regular expression,exrex --max-number 100 'regular_expression' "Generate all possible strings that match a regular expression, joined by a custom delimiter string","exrex --delimiter "", "" 'regular_expression'" Print count of all possible strings that match a regular expression,exrex --count 'regular_expression' Simplify a regular expression,exrex --simplify 'ab|ac' Print eyes,exrex '[oO0](_)[oO0]' Print a boat,"exrex '( {20}(\| *\\|-{22}|\|)|\.={50}| ( ){0,5}\\\.| {12}~{39})'" Display the current device name,idevicename Set a new device name,idevicename new_name Append file content to the source file,cat path/to/file | sponge -a path/to/file Remove all lines starting with # in a file,grep -v '^#' path/to/file | sponge path/to/file Kill all tasks in the default group,pueue kill Kill a specific task,pueue kill task_id Kill a task and terminate all its child processes,pueue kill --children task_id Kill all tasks in a group and pause the group,pueue kill --group group_name Kill all tasks across all groups and pause all groups,pueue kill --all Dump database into an SQL-script file,pg_dump db_name > output_file.sql "Same as above, customize username",pg_dump -U username db_name > output_file.sql "Same as above, customize host and port",pg_dump -h host -p port db_name > output_file.sql Dump a database into a custom-format archive file,pg_dump -Fc db_name > output_file.dump Dump only database data into an SQL-script file,pg_dump -a db_name > path/to/output_file.sql Dump only schema (data definitions) into an SQL-script file,pg_dump -s db_name > path/to/output_file.sql Generate a configuration script from `configure.ac` (if present) or `configure.in` and save this script to `configure`,autoconf Generate a configuration script from the specified template; output to `stdout`,autoconf template-file Generate a configuration script from the specified template (even if the input file has not changed) and write the output to a file,autoconf --force --output outfile template-file Commit changes to persistent storage (only files in `/etc` by default),lbu ci|commit List files that would be saved using `commit`,lbu st|status Display changes in tracked files that would be saved using `commit`,lbu diff Include a specific file or directory in the `apk` overlay,lbu add|inc|include path/to/file_or_directory Exclude a specific file or directory in `/etc` from the `apk` overlay,lbu ex|exclude|delete path/to/file_or_directory Display the list of manually included/excluded files,lbu inc|include|ex|exclude -l List backups (previously created overlays),lbu lb|list-backup Revert to a backup overlay,lbu revert overlay_filename.tar.gz Exhaust all of the available DHCP addresses using the specified interface,sudo ./pig.py eth0 Exhaust IPv6 addresses using eth1 interface,sudo ./pig.py -6 eth1 Send fuzzed/malformed data packets using the interface,sudo ./pig.py --fuzz eth1 Enable color output,sudo ./pig.py -c eth1 Enable minimal verbosity and color output,sudo ./pig.py -c --verbosity=1 eth1 Use a debug verbosity of 100 and scan network of neighboring devices using ARP packets,sudo ./pig.py -c --verbosity=100 --neighbors-scan-arp eth1 "Enable printing lease information, attempt to scan and release all neighbor IP addresses",sudo ./pig.py --neighbors-scan-arp -r --show-options eth1 Edit the group file,vigr Display version,vigr --version Print file access events in all mounted filesystems to `stdout`,sudo fatrace "Print file access events on the mount of the current directory, with timestamps, to `stdout`",sudo fatrace -c|--current-mount -t|--timestamp List local branches (current branch is highlighted by `*`),dolt branch List all local and remote branches,dolt branch --all Create a new branch based on the current branch,dolt branch branch_name Create a new branch with the specified commit as the latest,dolt branch branch_name commit Rename a branch,dolt branch --move branch_name1 branch_name2 Duplicate a branch,dolt branch --copy branch_name1 branch_name2 Delete a branch,dolt branch --delete branch_name Display the name of the current branch,dolt branch --show-current [r]ead the flash ROM of a AVR microcontroller with a specific [p]art ID,avrdude -p part_no -c programmer_id -U flash:r:file.hex:i [w]rite to the flash ROM AVR microcontroller,avrdude -p part_no -c programmer -U flash:w:file.hex List available AVR devices,avrdude -p \? List available AVR programmers,avrdude -c \? Generate a new random identifier,systemd-id128 new Print the identifier of the current machine,systemd-id128 machine-id Print the identifier of the current boot,systemd-id128 boot-id Print the identifier of the current service invocation (this is available in systemd services),systemd-id128 invocation-id Generate a new random identifier and print it as a UUID (five groups of digits separated by hyphens),systemd-id128 new --uuid Compile a CUDA program,nvcc path/to/source.cu -o path/to/executable Generate debu[g] information,nvcc path/to/source.cu -o path/to/executable --debug --device-debug Include libraries from a different path,nvcc path/to/source.cu -o path/to/executable -Ipath/to/includes -Lpath/to/library -llibrary_name Specify the compute capability for a specific GPU architecture,"nvcc path/to/source.cu -o path/to/executable --generate-code arch=arch_name,code=gpu_code_name" Identify vulnerabilities in the project,pnpm audit Automatically fix vulnerabilities,pnpm audit fix Generate a security report in JSON format,pnpm audit --json > path/to/audit-report.json Audit only [D]ev dependencies,pnpm audit --dev Audit only [P]roduction dependencies,pnpm audit --prod Exclude optional dependencies from the audit,pnpm audit --no-optional Ignore registry errors during the audit process,pnpm audit --ignore-registry-errors "Filter advisories by severity (low, moderate, high, critical)",pnpm audit --audit-level severity Evaluate contents of a given file,source path/to/file Evaluate contents of a given file (alternatively replacing `source` with `.`),. path/to/file Show the general status of NetworkManager,nmcli general Show the hostname of the current device,nmcli general hostname Change the hostname of the current device,sudo nmcli general hostname new_hostname Show the permissions of NetworkManager,nmcli general permissions Show the current logging level and domains,nmcli general logging Set the logging level and/or domains (see `man NetworkManager.conf` for all available domains),"nmcli general logging level INFO|OFF|ERR|WARN|DEBUG|TRACE domain domain_1,domain_2,..." Print the value of a Git logical variable,git var GIT_AUTHOR_IDENT|GIT_COMMITTER_IDENT|GIT_EDITOR|GIT_PAGER [l]ist all Git logical variables,git var -l Create a new timesheet,timetrap sheet timesheet Check in an entry started 5 minutes ago,"timetrap in --at ""5 minutes ago"" entry_notes" Display the current timesheet,timetrap display Edit the last entry's end time,timetrap edit --end time Display help,consul --help Display help for a subcommand,consul subcommand --help Display version,consul --version Ask Betty something,betty what time is it Download a file,betty download https://example.com/file.ext to path/to/output_file.ext Compress a file or directory to one of the support archive formats,betty zip path/to/file_or_directory Extract an archive into the current directory,betty unzip archive.tar.gz Extract an archive into a specific directory,betty unarchive archive.tar.gz to path/to/directory Play Spotify,betty play Spotify Drive Betty to madness,betty go crazy Display version,betty version Display the attributes of the files in the current directory,lsattr List the attributes of files in a particular path,lsattr path List file attributes recursively in the current and subsequent directories,lsattr -R "Show attributes of all the files in the current directory, including hidden ones",lsattr -a Display attributes of directories in the current directory,lsattr -d Display executable invocations per user (username not displayed),sudo sa "Display executable invocations per user, showing responsible usernames",sudo sa --print-users List resources used recently per user,sudo sa --user-summary Lookup the persistent security context setting of an absolute path,matchpathcon /path/to/file Restrict lookup to settings on a specific file type,matchpathcon -m file|dir|pipe|chr_file|blk_file|lnk_file|sock_file /path/to/file [V]erify that the persistent and current security context of a path agree,matchpathcon -V /path/to/file "Play a specific file (sampling rate, bit depth, etc. will be automatically determined for the file format)",aplay path/to/file Play the first 10 seconds of a specific file at 2500 Hz,aplay --duration=10 --rate=2500 path/to/file "Play the raw file as a 22050 Hz, mono, 8-bit, Mu-Law `.au` file",aplay --channels=1 --file-type raw --rate=22050 --format=mu_law path/to/file Convert a Andrew Toolkit raster object to a PBM image,atktopbm path/to/image.atk > path/to/output.pbm Set or update a `yadm`'s Git configuration,yadm config key.inner-key value Get a value from `yadm`'s Git configuration,yadm config --get key Unset a value in `yadm`'s Git configuration,yadm config --unset key List all values in `yadm`'s Git configuration,yadm config --list Start an Android emulator device,emulator -avd name Display the webcams on your development computer that are available for emulation,emulator -avd name -webcam-list Start an emulator overriding the facing back camera setting (use `-camera-front` for front camera),emulator -avd name -camera-back none|emulated|webcamN "Start an emulator, with a maximum network speed",emulator -avd name -netspeed gsm|hscsd|gprs|edge|hsdpa|lte|evdo|full Start an emulator with network latency,emulator -avd name -netdelay gsm|hscsd|gprs|edge|hsdpa|lte|evdo|none "Start an emulator, making all TCP connections through a specified HTTP/HTTPS proxy (port number is required)",emulator -avd name -http-proxy http://example.com:80 Start an emulator with a given SD card partition image file,emulator -avd name -sdcard path/to/sdcard.img Display help,emulator -help Start running a file forever (as a daemon),forever script "List running ""forever"" processes (along with IDs and other details of ""forever"" processes)",forever list "Stop a running ""forever"" process",forever stop ID|pid|script Download a remote image from Sylabs Cloud,singularity pull --name image.sif library://godlovedc/funny/lolcow:latest Rebuild a remote image using the latest Singularity image format,singularity build image.sif docker://godlovedc/lolcow Start a container from an image and get a shell inside it,singularity shell image.sif Start a container from an image and run a command,singularity exec image.sif command Start a container from an image and execute the internal runscript,singularity run image.sif Build a singularity image from a recipe file,sudo singularity build image.sif recipe Create a new resource group,az group create --name name --location location Check if a resource group exists,az group exists --name name Delete a resource group,az group delete --name name Wait until a condition of the resource group is met,az group wait --name name --created|deleted|exists|updated Create a new SSH key,az sshkey create --name name --resource-group resource_group Upload an existing SSH key,"az sshkey create --name name --resource-group resource_group --public-key ""@path/to/key.pub""" List all SSH public keys,az sshkey list Show information about an SSH public key,az sshkey show --name name --resource-group resource_group View documentation for creating containers,tldr distrobox-create View documentation for listing container's information,tldr distrobox-list View documentation for entering the container,tldr distrobox-enter View documentation for executing a command on the host from inside a container,tldr distrobox-host-exec View documentation for exporting app/service/binary from the container to the host,tldr distrobox-export View documentation for upgrading containers,tldr distrobox-upgrade View documentation for stopping the containers,tldr distrobox-stop View documentation for removing the containers,tldr distrobox-rm Return a sorted list of best matching fonts,fc-match -s 'DejaVu Serif' "Read a PPM image, apply dithering and save it to a file",ppmdither path/to/image.ppm > path/to/file.ppm Specify the desired number of shades for each primary color,ppmdither -red 2 -green 3 -blue 2 path/to/image.ppm > path/to/file.ppm Specify the dimensions of the dithering matrix,ppmdither -dim 2 path/to/image.ppm > path/to/file.ppm Scale an image such that the result has the specified dimensions,pnmscalefixed -width width -height height path/to/input.pnm > path/to/output.pnm "Scale an image such that the result has the specified width, keeping the aspect ratio",pnmscalefixed -width width path/to/input.pnm > path/to/output.pnm Scale an image such that its width and height is changed by the specified factors,pnmscalefixed -xscale x_factor -yscale y_factor path/to/input.pnm > path/to/output.pnm Manually inhibit desktop idleness with a toggle,caffeine-indicator "Set the CPU frequency policy of CPU 1 to ""userspace""",sudo cpufreq-set -c 1 -g userspace Set the current minimum CPU frequency of CPU 1,sudo cpufreq-set -c 1 --min min_frequency Set the current maximum CPU frequency of CPU 1,sudo cpufreq-set -c 1 --max max_frequency Set the current work frequency of CPU 1,sudo cpufreq-set -c 1 -f work_frequency Upload a file to transfer.sh,transfersh path/to/file Upload a file showing a progress bar (requires Python package `requests_toolbelt`),transfersh --progress path/to/file Upload a file using a different file name,transfersh --name filename path/to/file Upload a file to a custom transfer.sh server,transfersh --servername upload.server.name path/to/file Upload all files from a directory recursively,transfersh --recursive path/to/directory/ Upload a specific directory as an uncompressed tar,transfersh -rt path/to/directory Use UNIX (or DOS) pipes to pipe `stdout` of any command through gnomon,npm test | gnomon Show number of seconds since the start of the process,npm test | gnomon --type=elapsed-total Show an absolute timestamp in UTC,npm test | gnomon --type=absolute "Use a high threshold of 0.5 seconds, exceeding which the timestamp will be colored bright red",npm test | gnomon --high 0.5 "Use a medium threshold of 0.2 seconds, exceeding which the timestamp will be colored bright yellow",npm test | gnomon --medium 0.2 List the commands and the names of the expected events,trap Execute a command when a signal is received,"trap 'echo ""Caught signal SIGHUP""' HUP" Remove commands,trap - HUP INT Display the current date using the default locale's format,date +%c "Display the current date in UTC, using the ISO 8601 format",date -u +%Y-%m-%dT%H:%M:%S%Z Display the current date as a Unix timestamp (seconds since the Unix epoch),date +%s Convert a date specified as a Unix timestamp to the default format,date -d @1473305798 Convert a given date to the Unix timestamp format,"date -d ""2018-09-01 00:00"" +%s --utc" Display the current date using the RFC-3339 format (`YYYY-MM-DD hh:mm:ss TZ`),date --rfc-3339 s Set the current date using the format `MMDDhhmmYYYY.ss` (`YYYY` and `.ss` are optional),date 093023592021.59 Display the current ISO week number,date +%V "Match and rewrite templates, and print changes","comby 'assert_eq!(:[a], :[b])' 'assert_eq!(:[b], :[a])' .rs" Match and rewrite with rewrite properties,"comby 'assert_eq!(:[a], :[b])' 'assert_eq!(:[b].Capitalize, :[a])' .rs" Match and rewrite in-place,comby -in-place 'match_pattern' 'rewrite_pattern' Only perform matching and print matches,"comby -match-only 'match_pattern' """"" Debug the PlatformIO project in the current directory,pio debug Debug a specific PlatformIO project,pio debug --project-dir path/to/platformio_project Debug a specific environment,pio debug --environment environment Debug a PlatformIO project using a specific configuration file,pio debug --project-conf path/to/platformio.ini Debug a PlatformIO project using the `gdb` debugger,pio debug --interface=gdb gdb_options Show battery information,acpi Show thermal information,acpi -t Show cooling device information,acpi -c Show thermal information in Fahrenheit,acpi -tf Show all information,acpi -V Extract information from `/proc` instead of `/sys`,acpi -p Change the password for a specific user,"printf ""username:new_password"" | sudo chpasswd" Change the passwords for multiple users (The input text must not contain any spaces.),"printf ""username_1:new_password_1\nusername_2:new_password_2"" | sudo chpasswd" "Change the password for a specific user, and specify it in encrypted form","printf ""username:new_encrypted_password"" | sudo chpasswd --encrypted" "Change the password for a specific user, and use a specific encryption for the stored password","printf ""username:new_password"" | sudo chpasswd --crypt-method NONE|DES|MD5|SHA256|SHA512" List all available commands,vcgencmd commands Print the current CPU temperature,vcgencmd measure_temp Print the current voltage,vcgencmd measure_volts Print the throttled state of the system as a bit pattern,vcgencmd get_throttled Print the bootloader configuration (only available on Raspberry Pi 4 models),vcgencmd bootloader_config Display help,vcgencmd --help Print a secret to `stdout`,secrethub read path/to/secret Generate a random value and store it as a new or updated secret,secrethub generate path/to/secret Store a value from the clipboard as a new or updated secret,secrethub write --clip path/to/secret Store a value supplied on `stdin` as a new or updated secret,"echo ""secret_value"" | secrethub write path/to/secret" Audit a repository or secret,secrethub audit path/to/repo_or_secret Assign a name and tag to a specific image ID,docker tag id name:tag Assign a tag to a specific image,docker tag image:current_tag image:new_tag Display help,docker tag Display the routing policy,ip rule show|list Add a new rule based on packet source addresses,sudo ip rule add from 192.168.178.2/32 Add a new rule based on packet destination addresses,sudo ip rule add to 192.168.178.2/32 Delete a rule based on packet source addresses,sudo ip rule delete from 192.168.178.2/32 Delete a rule based on packet destination addresses,sudo ip rule delete to 192.168.178.2/32 Flush all deleted rules,ip rule flush Save all rules to a file,ip rule save > path/to/ip_rules.dat Restore all rules from a file,ip rule restore < path/to/ip_rules.dat Scan an IP or network subnet for [p]ort 80,masscan ip_address|network_prefix --ports 80 "Scan a class B subnet for the top 100 ports at 100,000 packets per second",masscan 10.0.0.0/16 --top-ports 100 --rate 100000 Scan a class B subnet avoiding ranges from a specific exclude file,masscan 10.0.0.0/16 --top-ports 100 --excludefile path/to/file Scan the Internet for web servers running on port 80 and 443,"masscan 0.0.0.0/0 --ports 80,443 --rate 10000000" Scan the Internet for DNS servers running on UDP port 53,masscan 0.0.0.0/0 --ports U:53 --rate 10000000 Scan the Internet for a specific port range and export to a file,masscan 0.0.0.0/0 --ports 0-65535 --output-format binary|grepable|json|list|xml --output-filename path/to/file Read binary scan results from a file and output to `stdout`,masscan --readscan path/to/file Convert a PBM image to an Andrew Toolkit raster object,pbmtoatk path/to/image.pbm > path/to/output.atk Pause notifications,dunstctl set-paused true Un-pause notifications,dunstctl set-paused false Close all notifications,dunstctl close-all Display help,dunstctl --help Find packages that are outdated in a project,npm outdated Find packages that are outdated regardless of the current project,npm outdated --all "Display library packages (from the ""libs"" section of the package repository) which are not required by another package",deborphan "List orphan packages from the ""libs"" section as well as orphan packages that have a name that looks like a library name",deborphan --guess-all Find packages which are only recommended or suggested (but not required) by another package,deborphan --nice-mode Enter a Distrobox container,distrobox-enter container_name Enter a Distrobox container and run a command at login,distrobox-enter container_name -- sh -l Enter a Distrobox container without instantiating a tty,distrobox-enter --name container_name -- uptime -p "Compress a file, replacing it with a `gzip` archive",gzip path/to/file "Decompress a file, replacing it with the original uncompressed version",gzip -d|--decompress path/to/file.gz "Compress a file, keeping the original file",gzip -k|--keep path/to/file "Compress a file, specifying the output filename",gzip -c|--stdout path/to/file > path/to/compressed_file.gz Decompress a `gzip` archive specifying the output filename,gzip -c|--stdout -d|--decompress path/to/file.gz > path/to/uncompressed_file "Specify the compression level. 1 is the fastest (low compression), 9 is the slowest (high compression), 6 is the default",gzip -1..9 -c|--stdout path/to/file > path/to/compressed_file.gz Display the name and reduction percentage for each file compressed or decompressed,gzip -v|--verbose -d|--decompress path/to/file.gz Update existing file or create a new `History.md` file with the commit messages since the latest Git tag,git changelog List commits from the current version,git changelog --list List a range of commits from the tag named `2.1.0` to now,git changelog --list --start-tag 2.1.0 List pretty formatted range of commits between the tag `0.5.0` and the tag `1.0.0`,git changelog --start-tag 0.5.0 --final-tag 1.0.0 List pretty formatted range of commits between the commit `0b97430` and the tag `1.0.0`,git changelog --start-commit 0b97430 --final-tag 1.0.0 Specify `CHANGELOG.md` as the output file,git changelog CHANGELOG.md Replace contents of current changelog file entirely,git changelog --prune-old Create a self-extracting binary file of a Zip archive,cat unzipsfx path/to/archive.zip > filename && chmod 755 filename Extract a self-extracting binary in the current directory,./path/to/binary) Test a self-extracting binary for errors,./path/to/binary) -t Print content of a file in the self-extracting binary without extraction,./path/to/binary) -c path/to/filename Print comments on Zip archive in the self-extracting binary,./path/to/binary) -z Show all refs in the repository,git show-ref Show only heads references,git show-ref --heads Show only tags references,git show-ref --tags Verify that a given reference exists,git show-ref --verify path/to/ref Add the Corepack shims to the Node.js installation directory to make them available as global commands,corepack enable Add the Corepack shims to a specific directory,corepack enable --install-directory path/to/directory Remove the Corepack shims from the Node.js installation directory,corepack disable Prepare a specific package manager,corepack prepare package_manager@version --activate Prepare the package manager configured for the project in the current path,corepack prepare Use a package manager without installing it as a global command,corepack npm|pnpm|yarn package_manager_arguments Install a package manager from the specified archive,corepack hydrate path/to/corepack.tgz Display help for a subcommand,corepack subcommand --help Add partition mappings,kpartx -a whole_disk.img Delete partition mappings,kpartx -d whole_disk.img List partition mappings,kpartx -l whole_disk.img List all ports,netstat --all List all listening ports,netstat --listening List listening TCP ports,netstat --tcp Display PID and program names,netstat --program List information continuously,netstat --continuous List routes and do not resolve IP addresses to hostnames,netstat --route --numeric List listening TCP and UDP ports (+ user and process if you're root),netstat --listening --program --numeric --tcp --udp --extend View account,linode-cli account view View account settings,linode-cli account settings Make a payment,linode-cli account payment-create --cvv cvv --usd amount_in_dollars View account notifications,linode-cli account notifications-list Search pubmed then find related sequences,"esearch -db pubmed -query ""selective serotonin reuptake inhibitor"" | elink -target nuccore" Search nucleotide then find related biosamples,"esearch -db nuccore -query ""insulin [PROT] AND rodents [ORGN]"" | elink -target biosample" Display a notification when tar finishes compressing files,noti tar -cjf example.tar.bz2 example/ Display a notification even when you put it after the command to watch,command_to_watch; noti Monitor a process by PID and trigger a notification when the PID disappears,noti -w process_id Install the latest stable version of a formula or cask (use `--devel` for development versions),brew install formula List all installed formulae and casks,brew list "Upgrade an installed formula or cask (if none is given, all installed formulae/casks are upgraded)",brew upgrade formula Fetch the newest version of Homebrew and of all formulae and casks from the Homebrew source repository,brew update Show formulae and casks that have a more recent version available,brew outdated Search for available formulae (i.e. packages) and casks (i.e. native macOS `.app` packages),brew search text "Display information about a formula or a cask (version, installation path, dependencies, etc.)",brew info formula Check the local Homebrew installation for potential problems,brew doctor Generate a new keypair at the default location,minisign -G Sign a file,minisign -Sm path/to/file "Sign a file, adding a trusted (signed) and an untrusted (unsigned) comment in the signature","minisign -Sm path/to/file -c ""Untrusted comment"" -t ""Trusted comment""" Verify a file and the trusted comments in its signature using the specified public key file,minisign -Vm path/to/file -p path/to/publickey.pub "Verify a file and the trusted comments in its signature, specifying a public key as a Base64 encoded literal","minisign -Vm path/to/file -P ""public_key_base64""" Launch CopyQ to store clipboard history,copyq Show current clipboard content,copyq clipboard Insert raw text into the clipboard history,copyq add -- text1 text2 text3 "Insert text containing escape sequences ('\n', '\t') into the clipboard history",copyq add firstline\nsecondline Print the content of the first 3 items in the clipboard history,copyq read 0 1 2 Copy a file's contents into the clipboard,copyq copy < path/to/file.txt Copy a JPEG image into the clipboard,copyq copy image/jpeg < path/to/image.jpg Extract a squashfs filesystem to `squashfs-root` in the current working directory,unsquashfs filesystem.squashfs Extract a squashfs filesystem to the specified directory,unsquashfs -dest path/to/directory filesystem.squashfs Display the names of files as they are extracted,unsquashfs -info filesystem.squashfs Display the names of files and their attributes as they are extracted,unsquashfs -linfo filesystem.squashfs List files inside the squashfs filesystem (without extracting),unsquashfs -ls filesystem.squashfs List files and their attributes inside the squashfs filesystem (without extracting),unsquashfs -lls filesystem.squashfs Scan a specific IP address,ipscan 192.168.0.1 Scan a range of IP addresses,ipscan 192.168.0.1-254 Scan a range of IP addresses and save the results to a file,ipscan 192.168.0.1-254 -o path/to/output.txt Scan IPs with a specific set of ports,"ipscan 192.168.0.1-254 -p 80,443,22" Scan with a delay between requests to avoid network congestion,ipscan 192.168.0.1-254 -d 200 Display help,ipscan --help Start an interactive editor session with an empty document,ed Start an interactive editor session with an empty document and a specific prompt,ed --prompt='> ' Start an interactive editor session with user-friendly errors,ed --verbose "Start an interactive editor session with an empty document and without diagnostics, byte counts and '!' prompt",ed --quiet Start an interactive editor session without exit status change when command fails,ed --loose-exit-status Edit a specific file (this shows the byte count of the loaded file),ed path/to/file Replace a string with a specific replacement for all lines,",s/regular_expression/replacement/g" Open the last command in the default system editor and run it after editing,fc Specify an editor to open with,fc -e 'emacs' List recent commands from history,fc -l List recent commands in reverse order,fc -l -r Edit and run a command from history,fc number Edit commands in a given interval and run them,fc '416' '420' Display help,fc --help View documentation for the current command,tldr pamcut Copy a local file to a remote host,scp path/to/local_file remote_host:path/to/remote_file Use a specific port when connecting to the remote host,scp -P port path/to/local_file remote_host:path/to/remote_file Copy a file from a remote host to a local directory,scp remote_host:path/to/remote_file path/to/local_directory Recursively copy the contents of a directory from a remote host to a local directory,scp -r remote_host:path/to/remote_directory path/to/local_directory Copy a file between two remote hosts transferring through the local host,scp -3 host1:path/to/remote_file host2:path/to/remote_directory Use a specific username when connecting to the remote host,scp path/to/local_file remote_username@remote_host:path/to/remote_directory Use a specific SSH private key for authentication with the remote host,scp -i ~/.ssh/private_key path/to/local_file remote_host:path/to/remote_file Use a specific proxy when connecting to the remote host,scp -J proxy_username@proxy_host path/to/local_file remote_host:path/to/remote_file Strip nondeterministic information from a file,strip-nondeterminism path/to/file Strip nondeterministic information from a file manually specifying the filetype,strip-nondeterminism --type filetype path/to/file Strip nondeterministic information from a file; instead of removing timestamps set them to the specified UNIX timestamp,strip-nondeterminism --timestamp unix_timestamp path/to/file Check the values of all attributes on a file,git check-attr --all path/to/file Check the value of a specific attribute on a file,git check-attr attribute path/to/file Check the values of all attributes on specific files,git check-attr --all path/to/file1 path/to/file2 ... Check the value of a specific attribute on one or more files,git check-attr attribute path/to/file1 path/to/file2 ... Launch the GUI,sudo lshw -X List all hardware in tabular format,sudo lshw -short List all disks and storage controllers in tabular format,sudo lshw -class disk -class storage -short Save all network interfaces to an HTML file,sudo lshw -class network -html > interfaces.html Open the first upstream in the default browser,git browse Open a specific upstream in the default browser,git browse upstream Split a `.wav` + `.cue` file into multiple files,shnsplit -f path/to/file.cue path/to/file.wav Show supported formats,shnsplit -a Split a `.flac` file into multiple files,shnsplit -f path/to/file.cue -o flac path/to/file.flac "Split a `.wav` file into files of the form ""track-number - album - title""","shnsplit -f path/to/file.cue path/to/file.wav -t ""%n - %a - %t""" Enable a module,sudo a2enmod module Don't show informative messages,sudo a2enmod --quiet module Create a container in a storage account,az storage container create --account-name storage_account_name --name container_name --public-access access_level --fail-on-exist Generate a shared access signature for the container,az storage container generate-sas --account-name storage_account_name --name container_name --permissions sas_permissions --expiry expiry_date --https-only List containers in a storage account,az storage container list --account-name storage_account_name --prefix filter_prefix Mark the specified container for deletion,az storage container delete --account-name storage_account_name --name container_name --fail-not-exist Test the conformance of one or more files in quiet mode,cupstestppd -q path/to/file1.ppd path/to/file2.ppd ... "Get the PPD file from `stdin`, showing detailed conformance testing results",cupstestppd -v - < path/to/file.ppd "Test all PPD files under the current directory, printing the names of each file that does not conform",find . -name \*.ppd \! -execdir cupstestppd -q '{}' \; -print Enter an interactive shell session on an already-running container,docker exec --interactive --tty container_name /bin/bash Run a command in the background (detached) on a running container,docker exec --detach container_name command Select the working directory for a given command to execute into,docker exec --interactive --tty --workdir path/to/directory container_name command Run a command in background on existing container but keep `stdin` open,docker exec --interactive --detach container_name command Set an environment variable in a running Bash session,docker exec --interactive --tty --env variable_name=value container_name /bin/bash Run a command as a specific user,docker exec --user user container_name command Install packages based on `conanfile.txt`,conan install . Install packages and create configuration files for a specific generator,conan install -g generator "Install packages, building from source",conan install . --build Search for locally installed packages,conan search package Search for remote packages,conan search package -r remote List remotes,conan remote list Process a specific directory,rector process path/to/directory Process a directory without applying changes (dry run),rector process path/to/directory --dry-run Process a directory and apply coding standards,rector process path/to/directory --with-style Display a list of available levels,rector levels Process a directory with a specific level,rector process path/to/directory --level level_name View documentation for running Transmission's daemon,tldr transmission-daemon View documentation for interacting with the daemon,tldr transmission-remote View documentation for creating torrent files,tldr transmission-create View documentation for modifying torrent files,tldr transmission-edit View documentation for getting info about torrent files,tldr transmission-show View documentation for the deprecated method for interacting with the daemon,tldr transmission-cli Join a protected wireless network,wpa_supplicant -i interface -c path/to/wpa_supplicant_conf.conf Join a protected wireless network and run it in a daemon,wpa_supplicant -B -i interface -c path/to/wpa_supplicant_conf.conf Convert an OpenSSH private key to the Dropbear format,dropbearconvert openssh dropbear path/to/input_key path/to/output_key Convert a Dropbear private key to the OpenSSH format,dropbearconvert dropbear openssh path/to/input_key path/to/output_key Show the status of the Prosody server,sudo prosodyctl status Reload the server's configuration files,sudo prosodyctl reload Add a user to the Prosody XMPP server,sudo prosodyctl adduser user@example.com Set a user's password,sudo prosodyctl passwd user@example.com Permanently delete a user,sudo prosodyctl deluser user@example.com View documentation for the command available in macOS,tldr open -p osx View documentation for the command available through fish,tldr open.fish Install a new package,xbps-install package Synchronize and update all packages,xbps-install --sync --update Export data from a specific Cloud SQL instance to a Google Cloud Storage bucket as an SQL dump file,gcloud sql export sql instance gs://bucket_name/file_name "Export data asynchronously, returning immediately without waiting for the operation to complete",gcloud sql export sql instance gs://bucket_name/file_name --async Export data from specific databases within the Cloud SQL instance,"gcloud sql export sql instance gs://bucket_name/file_name --database=database1,database2,..." Export specific tables from a specified database within the Cloud SQL instance,"gcloud sql export sql instance gs://bucket_name/file_name --database=database --table=table1,table2,..." Export data while offloading the operation to a temporary instance to reduce strain on the source instance,gcloud sql export sql instance gs://bucket_name/file_name --offload Export data and compress the output with `gzip`,gcloud sql export sql instance gs://bucket_name/file_name.gz "Run a vala file, with gtk+",vala path/to/file.vala --pkg gtk+-3.0 Display help,vala --help Display version,vala --version Tell the kernel to forget about the first partition of `/dev/sda`,sudo delpart /dev/sda 1 View documentation for the original command,tldr magick convert Connect to a local database on the default port (`mongodb://localhost:27017`),mongosh Connect to a database,mongosh --host host --port port db_name Authenticate using the specified username on the specified database (you will be prompted for a password),mongosh --host host --port port --username username --authenticationDatabase authdb_name db_name Evaluate a JavaScript expression on a database,mongosh --eval 'JSON.stringify(db.foo.findOne())' db_name Search for a keyword using a regular expression,apropos regular_expression Search without restricting the output to the terminal width ([l]ong output),apropos -l regular_expression Search for pages that match [a]ll the expressions given,apropos regular_expression_1 -a regular_expression_2 -a regular_expression_3 Convert PPM image to PGM image,ppmtopgm path/to/file.ppm > path/to/file.pgm Display version,ppmtopgm -version Check all files in the current directory (including subdirectories),rubocop Check one or more specific files or directories,rubocop path/to/file_or_directory1 path/to/file_or_directory2 ... Write output to file,rubocop --out path/to/file View list of cops (linter rules),rubocop --show-cops Exclude a cop,rubocop --except cop1 cop2 ... Run only specified cops,rubocop --only cop1 cop2 ... Auto-correct files (experimental),rubocop --auto-correct Edit a pod,kubectl edit pod/pod_name Edit a deployment,kubectl edit deployment/deployment_name Edit a service,kubectl edit svc/service_name Edit a resource using a specific editor,KUBE_EDITOR=nano kubectl edit resource/resource_name Edit a resource in JSON format,kubectl edit resource/resource_name --output json Interactively initialize deployer in the local path (use a framework template with `--template=template`),dep init Deploy an application to a remote host,dep deploy hostname Rollback to the previous working release,dep rollback Connect to a remote host via SSH,dep ssh hostname List commands,dep list Run any arbitrary command on the remote hosts,"dep run ""command""" Display help for a command,dep help command List available tools,go tool Run the go link tool,go tool link path/to/main.o "Print the command that would be executed, but do not execute it (similar to `whereis`)",go tool -n command arguments View documentation for a specified tool,go tool command --help List all available cross-compilation targets,go tool dist list Remove a killed or finished task,pueue remove task_id Remove multiple tasks at once,pueue remove task_id task_id Search for packages,cargo search query "Show `n` results (default: 10, max: 100)",cargo search --limit n query "Split a file, each split having 10 lines (except the last split)",split -l 10 path/to/file Split a file into 5 files. File is split such that each split has same size (except the last split),split -n 5 path/to/file Split a file with 512 bytes in each split (except the last split; use 512k for kilobytes and 512m for megabytes),split -b 512 path/to/file Split a file with at most 512 bytes in each split without breaking lines,split -C 512 path/to/file List all active Remote Agents,pio remote agent list Start a new Remote Agent with a specific name and share it with friends,pio remote agent start --name agent_name --share example1@example.com --share example2@example.com List devices from specified Agents (omit `--agent` to specify all Agents),pio remote --agent agent_name1 --agent agent_name2 device list Connect to the serial port of a remote device,pio remote --agent agent_name device monitor Run all targets on a specified Agent,pio remote --agent agent_name run "Update installed core packages, development platforms and global libraries on a specific Agent",pio remote --agent agent_name update Run all tests in all environments on a specific Agent,pio remote --agent agent_name test "Build a recursive fls list over a device, output paths will start with C",fls -r -m C: /dev/loop1p1 "Analyze a single partition, providing the sector offset at which the filesystem starts in the image",fls -r -m C: -o sector path/to/image_file "Analyze a single partition, providing the timezone of the original system",fls -r -m C: -z timezone /dev/loop1p1 Generate a new StrongNaming key,sn -k path/to/key.snk Re-sign an assembly with the specified private key,sn -R path/to/assembly.dll path/to/key_pair.snk Show the public key of the private key that was used to sign an assembly,sn -T path/to/assembly.exe Extract the public key to a file,sn -e path/to/assembly.dll path/to/output.pub Check the style of a file,vale path/to/file Check the style of a file with a specified configuration,vale --config='path/to/.vale.ini' path/to/file Output the results in JSON format,vale --output=JSON path/to/file Check style issues at the specific severity and higher,vale --minAlertLevel=suggestion|warning|error path/to/file "Check the style from `stdin`, specifying markup format",cat file.md | vale --ext=.md List the current configuration,vale ls-config List supported file formats,mat2 --list Remove metadata from a file,mat2 path/to/file Remove metadata from a file and print detailed output to the console,mat2 --verbose path/to/file Show metadata in a file without removing it,mat2 --show path/to/file Partially remove metadata from a file,mat2 --lightweight path/to/file "Remove metadata from a file in place, without creating a backup",mat2 --inplace path/to/file Install Husky in the current directory,husky install Install Husky into a specific directory,husky install path/to/directory Set a specific command as a `pre-push` hook for Git,"husky set .husky/pre-push ""command command_arguments""" Add a specific command to the current `pre-commit` hook,"husky add .husky/pre-commit ""command command_arguments""" Uninstall Husky hooks from the current directory,husky uninstall Display help,husky View documentation for the original command,tldr git add Display a report of CPU and disk statistics since system startup,iostat Display a report of CPU and disk statistics with units converted to megabytes,iostat -m Display CPU statistics,iostat -c Display disk statistics with disk names (including LVM),iostat -N "Display extended disk statistics with disk names for device ""sda""",iostat -xN sda Display incremental reports of CPU and disk statistics every 2 seconds,iostat 2 Show all data on the given interface and port,tcpflow -c -i eth0 port 80 Check if PulseAudio is running (a non-zero exit code means it is not running),pulseaudio --check Start the PulseAudio daemon in the background,pulseaudio --start Kill the running PulseAudio daemon,pulseaudio --kill List available modules,pulseaudio --dump-modules Load a module into the currently running daemon with the specified arguments,"pulseaudio --load=""module_name arguments""" "Optimise a set of JPEG images, retaining all associated data",jpegoptim image1.jpeg image2.jpeg imageN.jpeg "Optimise JPEG images, stripping all non-essential data",jpegoptim --strip-all image1.jpeg image2.jpeg imageN.jpeg Force the output images to be progressive,jpegoptim --all-progressive image1.jpeg image2.jpeg imageN.jpeg Force the output images to have a fixed maximum filesize,jpegoptim --size=250k image1.jpeg image2.jpeg imageN.jpeg Start a PXE boot server which provides a `netboot.xyz` boot image,pixiecore quick xyz --dhcp-no-bind Start a new PXE boot server which provides an Ubuntu boot image,pixiecore quick ubuntu --dhcp-no-bind List all available boot images for quick mode,pixiecore quick --help Encode a WAV file to FLAC (this will create a FLAC file in the same location as the WAV file),flac path/to/file.wav "Encode a WAV file to FLAC, specifying the output file",flac -o path/to/output.flac path/to/file.wav "Decode a FLAC file to WAV, specifying the output file",flac -d -o path/to/output.wav path/to/file.flac Test a FLAC file for the correct encoding,flac -t path/to/file.flac Scan for available wireless networks,iw dev wlp scan Join an open wireless network,iw dev wlp connect SSID Close the current connection,iw dev wlp disconnect Show information about the current connection,iw dev wlp link List all physical and logical wireless network interfaces,iw dev List all wireless capabilities for all physical hardware interfaces,iw phy List the kernel's current wireless regulatory domain information,iw reg get Display help for all commands,iw help Serve a registry implementation,crane registry serve Address to listen on,crane registry serve --address address_name Path to a directory where blobs will be stored,crane registry serve --disk path/to/store_dir Display help for `crane registry`,crane registry -h|--help Display help for `crane registry serve`,crane registry serve -h|--help Print a summary of the image Exif metadata,exiv2 path/to/file "Print all metadata (Exif, IPTC, XMP) with interpreted values",exiv2 -P kt path/to/file Print all metadata with raw values,exiv2 -P kv path/to/file Delete all metadata from an image,exiv2 -d a path/to/file "Delete all metadata, preserving the file timestamp",exiv2 -d a -k path/to/file "Rename the file, prepending the date and time from metadata (not from the file timestamp)",exiv2 -r '%Y%m%d_%H%M%S_:basename:' path/to/file Test a server (run every check) on port 443,testssl example.com Test a different port,testssl example.com:465 Only check available protocols,testssl --protocols example.com Only check vulnerabilities,testssl --vulnerable example.com Only check HTTP security headers,testssl --headers example.com Test other STARTTLS enabled protocols,testssl --starttls ftp|smtp|pop3|imap|xmpp|sieve|xmpp-server|telnet|ldap|irc|lmtp|nntp|postgres|mysql example.com:port Start the partition manipulator with a specific device,cfdisk /dev/sdX Create a new partition table for a specific device and manage it,cfdisk --zero /dev/sdX Encode a file,base32 path/to/file Wrap encoded output at a specific width (`0` disables wrapping),base32 -w|--wrap 0|76|... path/to/file Decode a file,base32 -d|--decode path/to/file Encode from `stdin`,command | base32 Decode from `stdin`,command | base32 -d|--decode Show events for today and tomorrow (or the weekend on Friday) from the default calendar,calendar "Look [A]head, showing events for the next 30 days",calendar -A 30 "Look [B]ack, showing events for the previous 7 days",calendar -B 7 Show events from a custom calendar [f]ile,calendar -f path/to/file Scan a single URL for XSS vulnerabilities,dalfox url http://example.com Scan a URL using a header for authentication,dalfox url http://example.com -H 'X-My-Header: 123' Scan a list of URLs from a file,dalfox file path/to/file Create specific directories,mkdir path/to/directory1 path/to/directory2 ... Create specific directories and their parents if needed,mkdir -p|--parents path/to/directory1 path/to/directory2 ... Create directories with specific permissions,mkdir -m|--mode rwxrw-r-- path/to/directory1 path/to/directory2 ... List all booleans settings,sudo semanage boolean -l|--list List all user-defined boolean settings without headings,sudo semanage boolean -l|--list -C|--locallist -n|--noheading Set or unset a boolean persistently,sudo semanage boolean -m|--modify -1|--on|-0|--off haproxy_connect_any Send a GET request,curlie httpbin.org/get Send a POST request,curlie post httpbin.org/post name=john age:=25 Send a GET request with query parameters (e.g. `first_param=5&second_param=true`),curlie get httpbin.org/get first_param==5 second_param==true Send a GET request with a custom header,curlie get httpbin.org/get header-name:header-value "Check directories for duplicated, empty and broken files",rmlint path/to/directory1 path/to/directory2 ... "Check for space wasters, preferably keeping files in tagged directories (after the double slash)",rmlint path/to/directory // path/to/original_directory "Check for space wasters, keeping everything in the untagged directories",rmlint --keep-all-untagged path/to/directory // path/to/original_directory Delete duplicate files found by an execution of `rmlint`,./rmlint.sh Find duplicate directory trees,rmlint --merge-directories path/to/directory "Mark files at lower path [d]epth as originals, on tie choose shorter [l]ength",rmlint --rank-by=dl path/to/directory Find only duplicates that have the same filename in addition to the same contents,rmlint --match-basename path/to/directory Find only duplicates that have the same extension in addition to the same contents,rmlint --match-extension path/to/directory Load a CSV file into a `CSVKitReader` object,csvpy data.csv Load a CSV file into a `CSVKitDictReader` object,csvpy --dict data.csv Print a quotation,fortune Print an offensive quotation,fortune -o Print a long quotation,fortune -l Print a short quotation,fortune -s List the available quotation database files,fortune -f Print a quotation from one of the database files listed by `fortune -f`,fortune path/to/file Capture a screenshot of the whole screen and save it to the default directory,i3-scrot Capture a screenshot of the active window,i3-scrot --window Capture a screenshot of a specific rectangular selection,i3-scrot --select Capture a screenshot of the whole screen and copy it to the clipboard,i3-scrot --desk-to-clipboard Capture a screenshot of the active window and copy it to the clipboard,i3-scrot --window-to-clipboard Capture a screenshot of a specific selection and copy it to the clipboard,i3-scrot --select-to-clipboard Capture a screenshot of the active window after a delay of 5 seconds,i3-scrot --window 5 Halt the system,halt Power off the system (same as `poweroff`),halt --poweroff Reboot the system (same as `reboot`),halt --reboot Halt immediately without contacting the system manager,halt --force Write the wtmp shutdown entry without halting the system,halt --wtmp-only Start a new session,tmux Start a new named session,tmux new -s name List existing sessions,tmux ls Attach to the most recently used session,tmux attach Detach from the current session (inside a tmux session),-B d Create a new window (inside a tmux session),-B c Switch between sessions and windows (inside a tmux session),-B w Kill a session by name,tmux kill-session -t name Convert a PPM image to a NEO file,ppmtoneo path/to/file.ppm > path/to/file.neo List information about a resource with more details,kubectl get pod|service|deployment|ingress|... -o wide Update specified pod with the label 'unhealthy' and the value 'true',kubectl label pods name unhealthy=true List all resources with different types,kubectl get all Display resource (CPU/Memory/Storage) usage of nodes or pods,kubectl top pod|node Print the address of the master and cluster services,kubectl cluster-info Display an explanation of a specific field,kubectl explain pods.spec.containers Print the logs for a container in a pod or specified resource,kubectl logs pod_name Run command in an existing pod,kubectl exec pod_name -- ls / "Check for a running ssh-agent, and start one if needed",keychain Also check for gpg-agent,"keychain --agents ""gpg,ssh""" List signatures of all active keys,keychain --list List fingerprints of all active keys,keychain --list-fp "Add a timeout for identities added to the agent, in minutes",keychain --timeout minutes Call `ls -la` when any file in the current directory changes,watchexec ls -la "Run `make` when any JavaScript, CSS and HTML file in the current directory changes","watchexec --exts js,css,html make" Run `make` when any file in the `lib` or `src` directory changes,watchexec --watch lib --watch src make "Call/restart `my_server` when any file in the current directory changes, sending `SIGKILL` to stop the child process",watchexec --restart --stop-signal SIGKILL my_server Remove the entire `target` directory,cargo clean Remove documentation artifacts (the `target/doc` directory),cargo clean --doc Remove release artifacts (the `target/release` directory),cargo clean --release "Remove artifacts in the directory of the given profile (in this case, `target/debug`)",cargo clean --profile dev View documentation for the original command,tldr nm "Set the default boot entry to an entry number, name or identifier",sudo grub-set-default entry_number "Set the default boot entry to an entry number, name or identifier for an alternative boot directory",sudo grub-set-default --boot-directory /path/to/boot_directory entry_number Display help about formatting JSON output from `gh` using `jq`,gh formatting Display [h]elp,wondershaper -h Show the current [s]tatus of a specific [a]dapter,wondershaper -s -a adapter_name Clear limits from a specific [a]dapter,wondershaper -c -a adapter_name Set a specific maximum [d]ownload rate (in Kbps),wondershaper -a adapter_name -d 1024 Set a specific maximum [u]pload rate (in Kbps),wondershaper -a adapter_name -u 512 Set a specific maximum [d]ownload rate and [u]pload rate (in Kpbs),wondershaper -a adapter_name -d 1024 -u 512 Launch in [i]nteractive mode,qalc --interactive Launch in [t]erse mode (print the results only),qalc --terse Update currency [e]xchange rates,qalc --exrates Perform calculations non-interactively,qalc 66+99|2^4|6 feet to cm|1 bitcoin to USD|20 kmph to mph|... List all supported functions/prefixes/units/variables,qalc --list-functions|list-prefixes|list-units|list-variables Execute commands from a [f]ile,qalc --file path/to/file Specify the target OVA file,VBoxManage export --output path/to/filename.ova Export in OVF 0.9 legacy mode,VBoxManage export --legacy09 Export in OVF (0.9|1.0|2.0) format,VBoxManage export --ovf09|ovf10|ovf20 Create manifest of the exported files,VBoxManage export --manifest Specify a description of the VM,"VBoxManage export --description ""vm_description""" Execute a Ruby script,ruby script.rb Execute a single Ruby command in the command-line,ruby -e command Check for syntax errors on a given Ruby script,ruby -c script.rb Start the built-in HTTP server on port 8080 in the current directory,ruby -run -e httpd Locally execute a Ruby binary without installing the required library it depends on,ruby -I path/to/library_folder -r library_require_name path/to/bin_folder/bin_name Display Ruby version,ruby -v Run a command,doppler run --command command Run multiple commands,doppler run --command command1 && command2 Run a script,doppler run path/to/command.sh Run command with specified project and config,doppler run -p project_name -c config_name -- command Automatically restart process when secrets change,doppler run --watch command Start an interactive search for a city and fetch data for it,peludna-prognoza Fetch data for a city,"peludna-prognoza ""city""" Display data in a machine-readable format,"peludna-prognoza ""city"" --json|xml" Display the pollen measurement page for a city at in the default web browser,"peludna-prognoza ""city"" --web" "Set a size of 10 GB to an existing file, or create a new file with the specified size",truncate --size 10G path/to/file "Extend the file size by 50 MiB, fill with holes (which reads as zero bytes)",truncate --size +50M path/to/file "Shrink the file by 2 GiB, by removing data from the end of file",truncate --size -2G path/to/file Empty the file's content,truncate --size 0 path/to/file "Empty the file's content, but do not create the file if it does not exist",truncate --no-create --size 0 path/to/file Run a LOLCODE file,lci path/to/file Display help,lci -h Display version,lci -v Install or reinstall the `br` shell function,broot --install Display statistics for a PDF file,pdf-parser --stats path/to/file.pdf Display objects of type `/Font` in a PDF file,pdf-parser --type=/Font path/to/file.pdf Search for strings in indirect objects,pdf-parser --search=search_string path/to/file.pdf Show only [a]ctive containers,ctop -a [r]everse the container sort order,ctop -r [i]nvert the default colors,ctop -i Display [h]elp,ctop -h List all WorkMail organizations,aws workmail list-organizations List all users of a specific organization,aws workmail list-users --organization-id organization_id Create a WorkMail user in a specific organization,aws workmail create-user --name username --display-name name --password password --organization-id organization_id Register and enable a group/user to WorkMail,aws workmail register-to-work-mail --entity-id entity_id --email email --organization-id organization_id Create a WorkMail group in a specific organization,aws workmail create-group --name group_name --organization-id organization_id Associate a member to a specific group,aws workmail associate-member-to-group --group-id group_id --member-id member_id --organization-id organization_id Deregister and disable a user/group from WorkMail,aws workmail deregister-from-work-mail --entity-id entity_id --organization-id organization_id Delete a user from an organization,aws workmail delete-user --user-id user_id --organization-id organization_id Display information about an existing MP4 file,mp4box -info path/to/file Add an SRT subtitle file into an MP4 file,mp4box -add input_subs.srt:lang=eng -add input.mp4 output.mp4 Combine audio from one file and video from another,mp4box -add input1.mp4#audio -add input2.mp4#video output.mp4 Read a PBM file as input and produce an ASCII output,pbmtoascii path/to/input_file.pbm Read a PBM file as input and save an ASCII output into a file,pbmtoascii path/to/input_file.pbm > path/to/output_file Read a PBM file as input while setting the pixel mapping (defaults to 1x2),pbmtoascii -1x2|2x4 path/to/input_file.pbm Display version,pbmtoascii -version Convert a TIFF to a PNM file,tifftopnm path/to/input_file.tiff > path/to/output_file.pnm Create a PGM file containing the alpha channel of the input image,tifftopnm -alphaout path/to/alpha_file.pgm path/to/input_file.tiff > path/to/output_file.pnm Respect the `fillorder` tag in the input TIFF image,tifftopnm -respectfillorder path/to/input_file.tiff > path/to/output_file.pnm Print TIFF header information to `stderr`,tifftopnm -headerdump path/to/input_file.tiff > path/to/output_file.pnm Start a virtual machine,VBoxManage startvm vm_name|uuid Start a virtual machine with the specified UI mode,VBoxManage startvm vm_name|uuid --type headless|gui|sdl|separate Specify a password file to start an encrypted virtual machine,VBoxManage startvm vm_name|uuid --password path/to/password_file Specify a password ID to start an encrypted virtual machine,VBoxManage startvm vm_name|uuid --password-id password_id Start a virtual machine with an environment variable pair name value,VBoxManage startvm vm_name|uuid --put-env=name=value Enable a configuration file,sudo a2enconf configuration_file Don't show informative messages,sudo a2enconf --quiet configuration_file Display available fonts,showfigfonts Display available fonts using a specific text,showfigfonts input_text Create a new p5 collection,p5 new collection_name Generate a new p5 project (should be run from collection directory),p5 generate project_name Run the p5 manager server,p5 server Update libraries to their latest versions,p5 update Change the upstream remote to origin,git rename-remote upstream origin Connect to a VPN with a username and password,openfortivpn --username=username --password=password Connect to a VPN using a specific configuration file (defaults to `/etc/openfortivpn/config`),sudo openfortivpn --config=path/to/config Connect to a VPN by specifying the host and port,openfortivpn host:port Trust a given gateway by passing in its certificate's sha256 sum,openfortivpn --trusted-cert=sha256_sum Initialize a swarm cluster,docker swarm init Display the token to join a manager or a worker,docker swarm join-token worker|manager Join a new node to the cluster,docker swarm join --token token manager_node_url:2377 Remove a worker from the swarm (run inside the worker node),docker swarm leave Display the current CA certificate in PEM format,docker swarm ca Rotate the current CA certificate and display the new certificate,docker swarm ca --rotate Change the valid period for node certificates,docker swarm update --cert-expiry hourshminutesmsecondss Smooth out a PNM image using a convolution matrix of size 3x3,pnmsmooth path/to/input.pnm > path/to/output.pnm Smooth out a PNM image using a convolution matrix of size width times height,pnmsmooth -width width -height height path/to/input.pnm > path/to/output.pnm Reset a file to HEAD,git reset-file path/to/file Reset a file to a specific commit,git reset-file path/to/file commit_hash Update to the latest version,sudo swupd update "Show current version, and check whether a newer one exists",swupd check-update List installed bundles,swupd bundle-list Locate the bundle where a wanted package exists,swupd search -b package Install a new bundle,sudo swupd bundle-add bundle Remove a bundle,sudo swupd bundle-remove bundle Correct broken or missing files,sudo swupd verify Retrieve all public SSH keys of a remote host,ssh-keyscan host Retrieve all public SSH keys of a remote host listening on a specific port,ssh-keyscan -p port host Retrieve certain types of public SSH keys of a remote host,"ssh-keyscan -t rsa,dsa,ecdsa,ed25519 host" Manually update the SSH known_hosts file with the fingerprint of a given host,ssh-keyscan -H host >> ~/.ssh/known_hosts Define group administrators,"sudo gpasswd -A user1,user2 group" Set the list of group members,"sudo gpasswd -M user1,user2 group" Create a password for the named group,gpasswd group Add a user to the named group,gpasswd -a user group Remove a user from the named group,gpasswd -d user group Upload a new site to surge.sh,surge path/to/my_project Deploy site to custom domain (note that the DNS records must point to the surge.sh subdomain),surge path/to/my_project my_custom_domain.com List your surge projects,surge list Remove a project,surge teardown my_custom_domain.com Start the current application in development mode,next dev Start the current application and listen on a specific port,next dev --port port Build the current application optimized for production,next build Start the compiled application in production mode,next start Start the compiled application and listen on a specific port,next start --port port Export the current application to static HTML pages,next export Display the Next.js telemetry status,next telemetry Display help for a subcommand,next build|dev|export|start|telemetry --help Back up the partition layout to a file,sudo sfdisk -d|--dump path/to/device > path/to/file.dump Restore a partition layout,sudo sfdisk path/to/device < path/to/file.dump Set the type of a partition,sfdisk --part-type path/to/device} partition_number swap Delete a partition,sfdisk --delete path/to/device partition_number Display help,sfdisk -h|--help Check the current package,cargo check Check all tests,cargo check --tests Check the integration tests in `tests/integration_test1.rs`,cargo check --test integration_test1 Check the current package with the features `feature1` and `feature2`,"cargo check --features feature1,feature2" Check the current package with default features disabled,cargo check --no-default-features Retrieve all extended attributes of a file and display them in a detailed format,getfattr -d path/to/file Get a specific attribute of a file,getfattr -n user.attribute_name path/to/file Convert an RLE image to a PNM file,rletopnm path/to/input.rle > path/to/output.pnm Create a PGM image containing the RLE file's alpha channel,rletopnm -alphaout path/to/alpha_file.pgm path/to/input.rle > path/to/output.pnm Operate in verbose mode and print the contents of the RLE header to `stdout`,rletopnm -verbose path/to/input.rle > path/to/output.pnm Update the binary hardware database in `/etc/udev`,systemd-hwdb update Query the hardware database and print the result for a specific modalias,systemd-hwdb query modalias "Update the binary hardware database, returning a non-zero exit value on any parsing error",systemd-hwdb --strict update Update the binary hardware database in `/usr/lib/udev`,systemd-hwdb --usr update Update the binary hardware database in the specified root path,systemd-hwdb --root=path/to/root update Calculate the MD5 checksum for one or more files,md5sum path/to/file1 path/to/file2 ... Calculate and save the list of MD5 checksums to a file,md5sum path/to/file1 path/to/file2 ... > path/to/file.md5 Calculate an MD5 checksum from `stdin`,command | md5sum Read a file of MD5 sums and filenames and verify all files have matching checksums,md5sum --check path/to/file.md5 Only show a message for missing files or when verification fails,md5sum --check --quiet path/to/file.md5 "Only show a message when verification fails, ignoring missing files",md5sum --ignore-missing --check --quiet path/to/file.md5 Browse for VNC servers,bvnc Browse for SSH servers,bvnc --ssh Browse for both VNC and SSH servers,bvnc --shell Browse for VNC servers in a specified domain,bvnc --domain domain Create the GitHub pages branch inside the repository in the current directory,git gh-pages "Synchronize once, without enabling autorefresh",offlineimap -o Synchronize a specific account,offlineimap -a account Synchronize a specific folder,offlineimap -f folder Start an interactive shell session,bash Start an interactive shell session without loading startup configs,bash --norc Execute specific [c]ommands,"bash -c ""echo 'bash is executed'""" Execute a specific script,bash path/to/script.sh "E[x]ecute a specific script, printing each command before executing it",bash -x path/to/script.sh Execute a specific script and stop at the first [e]rror,bash -e path/to/script.sh Execute specific commands from `stdin`,"echo ""echo 'bash is executed'"" | bash" Start a [r]estricted shell session,bash -r Connect your system to the Ubuntu Pro support contract,sudo pro attach Display the status of Ubuntu Pro services,pro status Check if the system is affected by a specific vulnerability (and apply a fix if possible),pro fix CVE-number Display the number of unsupported packages,pro security-status List packages that are no longer available for download,pro security-status --unavailable List third-party packages,pro security-status --thirdparty Download a binary package,dget package Download and extract a package source from its `.dsc` file,dget http://deb.debian.org/debian/pool/main/h/haskell-tldr/haskell-tldr_0.4.0-2.dsc Download a package source tarball from its `.dsc` file but don't extract it,dget -d http://deb.debian.org/debian/pool/main/h/haskell-tldr/haskell-tldr_0.4.0-2.dsc List dashboards for your account,aws cloudwatch list-dashboards Display details for the specified dashboard,aws cloudwatch get-dashboard --dashboard-name dashboard_name List metrics,aws cloudwatch list-metrics List alarms,aws cloudwatch describe-alarms Create or update an alarm and associate it with a metric,aws cloudwatch put-metric-alarm --alarm-name alarm_name --evaluation-periods evaluation_periods --comparison-operator comparison_operator Delete the specified alarms,aws cloudwatch delete-alarms --alarm_names alarm_names Delete the specified dashboards,aws cloudwatch delete-dashboards --dashboard-names dashboard_names "Show information about the latest commit (hash, message, changes, and other metadata)",git show Show information about a given commit,git show commit Show information about the commit associated with a given tag,git show tag Show information about the 3rd commit from the HEAD of a branch,git show branch~3 "Show a commit's message in a single line, suppressing the diff output",git show --oneline -s commit Show only statistics (added/removed characters) about the changed files,git show --stat commit "Show only the list of added, renamed or deleted files",git show --summary commit "Show the contents of a file as it was at a given revision (e.g. branch, tag or commit)",git show revision:path/to/file Encrypt the contents of a Zip archive,zipcloak path/to/archive.zip [d]ecrypt the contents of a Zip archive,zipcloak -d path/to/archive.zip [O]utput the encrypted contents into a new Zip archive,zipcloak path/to/archive.zip -O path/to/encrypted.zip Create a new Symfony project,symfony new name Run a local web server,symfony serve Stop the local web server,symfony server:stop Check for security issues in the project's dependencies,symfony security:check Get current setting,ctrlaltdel "Set CTRL+ALT+DEL to reboot immediately, without any preparation",sudo ctrlaltdel hard "Set CTRL+ALT+DEL to reboot ""normally"", giving processes a chance to exit first (send SIGINT to PID1)",sudo ctrlaltdel soft Vendor dependencies and configure `cargo` to use the vendored sources in the current project,cargo vendor path/to/directory > .cargo/config.toml Command used by `qmigrate` during data migration from a VM to another host,qm mtunnel List available boilerplates,gibo list Write a boilerplate to `stdout`,gibo dump boilerplate Write a boilerplate to .gitignore,gibo dump boilerplate >>.gitignore Search for boilerplates containing a given string,gibo search string Update available local boilerplates,gibo update Package an application for the current architecture and platform,"electron-packager ""path/to/app"" ""app_name""" Package an application for all architectures and platforms,"electron-packager ""path/to/app"" ""app_name"" --all" Package an application for 64-bit Linux,"electron-packager ""path/to/app"" ""app_name"" --platform=""linux"" --arch=""x64""" Package an application for ARM macOS,"electron-packager ""path/to/app"" ""app_name"" --platform=""darwin"" --arch=""arm64""" Copy a disk to a raw image file and hash the image using SHA256,dcfldd if=/dev/disk_device of=file.img hash=sha256 hashlog=file.hash "Copy a disk to a raw image file, hashing each 1 GB chunk",dcfldd if=/dev/disk_device of=file.img hash=sha512|sha384|sha256|sha1|md5 hashlog=file.hash hashwindow=1G "Display remote locations, remote and local branches, most recent commit data and `.git/config` settings",git info "Display remote locations, remote and local branches and most recent commit data",git info --no-config "Transform an XML document using an XSL stylesheet, passing one XPATH parameter and one literal string parameter","xml transform path/to/stylesheet.xsl -p ""Count='count(/xml/table/rec)'"" -s Text=""Count="" path/to/input.xml|URI" Display help,xml transform --help List currently installed modules,dkms status Rebuild all modules for the currently running kernel,dkms autoinstall Install version 1.2.1 of the acpi_call module for the currently running kernel,dkms install -m acpi_call -v 1.2.1 Remove version 1.2.1 of the acpi_call module from all kernels,dkms remove -m acpi_call -v 1.2.1 --all Build a Linux ext2 filesystem on a partition,mkfs path/to/partition Build a filesystem of a specified type,mkfs -t ext4 path/to/partition Build a filesystem of a specified type and check for bad blocks,mkfs -c -t ntfs path/to/partition Connect to an FTP server,ftp ftp.example.com Connect to an FTP server specifying its IP address and port,ftp ip_address port "Switch to binary transfer mode (graphics, compressed files, etc)",binary Transfer multiple files without prompting for confirmation on every file,prompt off Download multiple files (glob expression),mget *.png Upload multiple files (glob expression),mput *.zip Delete multiple files on the remote server,mdelete *.txt Rename a file on the remote server,rename original_filename new_filename "Execute the `ls` program literally, even if an `ls` alias exists",command ls Display the path to the executable or the alias definition of a specific command,command -v command_name Print all URLs found by a Google search,"xidel https://www.google.com/search?q=test --extract ""//a/extract(@href, 'url[?]q=([^&]+)&', 1)[. != '']""" Print the title of all pages found by a Google search and download them,"xidel https://www.google.com/search?q=test --follow ""//a/extract(@href, 'url[?]q=([^&]+)&', 1)[. != '']"" --extract //title --download '{$host}/'" "Follow all links on a page and print the titles, with XPath",xidel https://example.org --follow //a --extract //title "Follow all links on a page and print the titles, with CSS selectors","xidel https://example.org --follow ""css('a')"" --css title" "Follow all links on a page and print the titles, with pattern matching","xidel https://example.org --follow ""{.}*"" --extract ""{.}""" "Read the pattern from example.xml (which will also check if the element containing ""ood"" is there, and fail otherwise)","xidel path/to/example.xml --extract ""ood{.}""" Print all newest Stack Overflow questions with title and URL using pattern matching on their RSS feed,"xidel http://stackoverflow.com/feeds --extract ""{title:=.}{uri:=@href}+""" "Check for unread Reddit mail, Webscraping, combining CSS, XPath, JSONiq, and automatically form evaluation","xidel https://reddit.com --follow ""form(css('form.login-form')[1], {'user': '$your_username', 'passwd': '$your_password'})"" --extract ""css('#mail')/@title""" Create a new table in the storage account,az storage table create --account-name storage_account_name --name table_name --fail-on-exist Generate a shared access signature for the table,az storage table generate-sas --account-name storage_account_name --name table_name --permissions sas_permissions --expiry expiry_date --https-only List tables in a storage account,az storage table list --account-name storage_account_name Delete the specified table and any data it contains,az storage table delete --account-name storage_account_name --name table_name --fail-not-exist Update all enabled media,urpmi.update -a Update specific media (including disabled media),urpmi.update medium1 medium2 ... Update all media that contain a specific keyword,urpmi.update keyword Update all configured media,urpmi.update e Show all messages with priority level 3 (errors) from this [b]oot,journalctl -b --priority=3 Delete journal logs which are older than 2 days,journalctl --vacuum-time=2d Show only the last N li[n]es and [f]ollow new messages (like `tail -f` for traditional syslog),journalctl --lines N --follow Show all messages by a specific [u]nit,journalctl --unit unit Show logs for a given unit since the last time it started,journalctl _SYSTEMD_INVOCATION_ID=$(systemctl show --value --property=InvocationID unit) "Filter messages within a time range (either timestamp or placeholders like ""yesterday"")","journalctl --since now|today|yesterday|tomorrow --until ""YYYY-MM-DD HH:MM:SS""" Show all messages by a specific process,journalctl _PID=pid Show all messages by a specific executable,journalctl path/to/executable List all containers (running and stopped),ctr containers list List all images,ctr images list Pull an image,ctr images pull image Tag an image,ctr images tag source_image:source_tag target_image:target_tag "Use it as a search engine, asking for the mass of the sun","sgpt ""mass of the sun""" "Execute Shell commands, and apply `chmod 444` to all files in the current directory","sgpt --shell ""make all files in current directory read only""" "Generate code, solving classic fizz buzz problem","sgpt --code ""solve fizz buzz problem using Python""" Start a chat session with a unique session name,"sgpt --chat session_name ""please remember my favorite number: 4""" Start a `REPL` (Read–eval–print loop) session,sgpt --repl command Display help,sgpt --help Write a specific key value,"dconf write /path/to/key ""value""" Write a specific string key value,"dconf write /path/to/key ""'string'""" Write a specific integer key value,"dconf write /path/to/key ""5""" Write a specific boolean key value,"dconf write /path/to/key ""true|false""" Write a specific array key value,"dconf write /path/to/key ""['first', 'second', ...]""" Write a specific empty array key value,"dconf write /path/to/key ""@as []""" Lint a specific directory,parallel-lint path/to/directory Lint a directory using the specified number of parallel processes,parallel-lint -j processes path/to/directory "Lint a directory, excluding the specified directory",parallel-lint --exclude path/to/excluded_directory path/to/directory Lint a directory of files using a comma-separated list of extension(s),"parallel-lint -e php,html,phpt path/to/directory" Lint a directory and output the results as JSON,parallel-lint --json path/to/directory Lint a directory and show Git Blame results for rows containing errors,parallel-lint --blame path/to/directory Compile a new Phar file,box compile Compile a new Phar file using a specific [c]onfiguration file,box compile -c path/to/config Display information about the PHAR PHP extension,box info Display information about a specific Phar file,box info path/to/phar_file Validate the first found configuration file in the working directory,box validate Verify the signature of a specific Phar file,box verify path/to/phar_file Display help,box help Probe a list of domains from a text file,cat input_file | httprobe Only check for HTTP if HTTPS is not working,cat input_file | httprobe --prefer-https Probe additional ports with a given protocol,cat input_file | httprobe -p https:2222 Display help,httprobe --help List all genres,id3v2 --list-genres List all tags of specific files,id3v2 --list path/to/file1 path/to/file2 ... Delete all `id3v2` or `id3v1` tags of specific files,id3v2 --delete-v2|--delete-v1 path/to/file1 path/to/file2 ... Display help,id3v2 --help Display version,id3v2 --version Transpile using one or more comma-separated transformations,"lebab --transform transformation1,transformation2,..." Transpile a file to `stdout`,lebab path/to/input_file Transpile a file to the specified output file,lebab path/to/input_file --out-file path/to/output_file "Replace all `.js` files in-place in the specified directory, glob or file",lebab --replace directory|glob|file Display help,lebab --help Find files by extension,bfs root_path -name '*.ext' Find files matching multiple path/name patterns,bfs root_path -path '**/path/**/*.ext' -or -name '*pattern*' "Find directories matching a given name, in case-insensitive mode",bfs root_path -type d -iname '*lib*' "Find files matching a given pattern, excluding specific paths",bfs root_path -name '*.py' -not -path '*/site-packages/*' "Find files matching a given size range, limiting the recursive depth to ""1""",bfs root_path -maxdepth 1 -size +500k -size -10M Run a command for each file (use `{}` within the command to access the filename),bfs root_path -name '*.ext' -exec wc -l {} \; Find all files modified today and pass the results to a single command as arguments,bfs root_path -daystart -mtime -1 -exec tar -cvf archive.tar {} \+ Find empty files (0 byte) or directories and delete them verbosely,bfs root_path -type f|d -empty -delete -print Show all EXIF data,jhead path/to/image.jpg Set the file's date and time to the EXIF create date (file creation date will be changed),jhead -ft path/to/image.jpg Set the EXIF time to the file's date and time (EXIF data will be changed),jhead -dsft path/to/image.jpg Rename all JPEG files based on the EXIF create date to `YYYY_MM_DD-HH_MM_SS.jpg`,jhead -n%Y_%m_%d-%H_%M_%S *.jpg "Rotate losslessly all JPEG images by 90, 180 or 270 based on the EXIF orientation tag",jhead -autorot *.jpg Update all EXIF timestamps (Format: +- hour:minute:seconds) (example: forgot to change the camera's time zone - removing 1 hour from timestamps),jhead -ta-1:00:00 *.jpg Remove all EXIF data (including thumbnails),jhead -purejpg path/to/image.jpg Convert a Zeiss cofocal file into either `.pgm` or `.ppm` format,zeisstopnm path/to/file Convert a Zeiss cofocal file to Netbpm format while explicitly specifying the target file type,zeisstopnm -pgm|ppm path/to/file Start server serving the specified document root,darkhttpd path/to/docroot Start server on specified port (port 8080 by default if running as non-root user),darkhttpd path/to/docroot --port port "Listen only on specified IP address (by default, the server listens on all interfaces)",darkhttpd path/to/docroot --addr ip_address Display the list of access points and ad-hoc cells in range,iwlist wireless_interface scan Display available frequencies in the device,iwlist wireless_interface frequency List the bit-rates supported by the device,iwlist wireless_interface rate List the WPA authentication parameters currently set,iwlist wireless_interface auth List all the WPA encryption keys set in the device,iwlist wireless_interface wpakeys List the encryption key sizes supported and list all the encryption keys set in the device,iwlist wireless_interface keys List the various power management attributes and modes of the device,iwlist wireless_interface power List generic information elements set in the device (used for WPA support),iwlist wireless_interface genie List available service names,qdbus List object paths for a specific service,qdbus service_name "List methods, signals and properties available on a specific object",qdbus service_name /path/to/object Execute a specific method passing arguments and display the returned value,qdbus service_name /path/to/object method_name argument1 argument2 Display the current brightness value in a KDE Plasma session,qdbus org.kde.Solid.PowerManagement /org/kde/Solid/PowerManagement/Actions/BrightnessControl org.kde.Solid.PowerManagement.Actions.BrightnessControl.brightness Set a specific brightness to a KDE Plasma session,qdbus org.kde.Solid.PowerManagement /org/kde/Solid/PowerManagement/Actions/BrightnessControl org.kde.Solid.PowerManagement.Actions.BrightnessControl.setBrightness 5000 Invoke volume up shortcut in a KDE Plasma session,"qdbus org.kde.kglobalaccel /component/kmix invokeShortcut ""increase_volume""" "Gracefully log out and then do nothing, reboot or shut down",qdbus org.kde.Shutdown /Shutdown logout|logoutAndReboot|logoutAndShutdown Create a new feed database that sends email to an email address,r2e new email_address Subscribe to a feed,r2e add feed_name feed_URI Send new stories to an email address,r2e run List all feeds,r2e list Delete a feed at a specified index,r2e delete index Display a cuddly teddy bear on your X desktop,xteddy "Use the window manager to display the teddy bear and ignore the ""quit"" (`q`) command",xteddy -wm -noquit Make the teddy bear stay on top of all other windows,xteddy -float Display another image [F]ile instead of the cuddly teddy bear,xteddy -F path/to/image Set the initial location of the teddy bear (`width` and `height` are ignored),xteddy -geometry widthxheight+x+y Convert a Shapefile into a GeoPackage,ogr2ogr -f GPKG path/to/output.gpkg path/to/input.shp Reduce a GeoJSON to features matching a condition,ogr2ogr -where 'myProperty > 42' -f GeoJSON path/to/output.geojson path/to/input.geojson Change coordinate reference system of a GeoPackage from `EPSG:4326` to `EPSG:3857`,ogr2ogr -s_srs EPSG:4326 -t_srs EPSG:3857 -f GPKG path/to/output.gpkg path/to/input.gpkg "Convert a CSV file into a GeoPackage, specifying the names of the coordinate columns and assigning a coordinate reference system",ogr2ogr -f GPKG path/to/output.gpkg path/to/input.csv -oo X_POSSIBLE_NAMES=longitude -oo Y_POSSIBLE_NAMES=latitude -a_srs EPSG:4326 Load a GeoPackage into a PostGIS database,"ogr2ogr -f PostgreSQL PG:dbname=""database_name"" path/to/input.gpkg" Clip layers of a GeoPackage file to the given bounding box,ogr2ogr -spat min_x min_y max_x max_y -f GPKG path/to/output.gpkg path/to/input.gpkg Authorize Google Cloud access for the `gcloud` CLI with Google Cloud user credentials and set the current account as active,gcloud auth login Authorize Google Cloud access similar to `gcloud auth login` but with service account credentials,gcloud auth activate-service-account Manage Application Default Credentials (ADC) for Cloud Client Libraries,gcloud auth application-default Display a list of Google Cloud accounts currently authenticated on your system,gcloud auth list Display the current account's access token,gcloud auth print-access-token Remove access credentials for an account,gcloud auth revoke Display help and list subcommands,pio --help Display help for a specific subcommand,pio subcommand --help Display version,pio --version Compare two tree objects,git diff-tree tree-ish1 tree-ish2 Show changes between two specific commits,git diff-tree -r commit1 commit2 Display changes in patch format,git diff-tree -p|--patch tree-ish1 tree-ish2 Filter changes by a specific path,git diff-tree tree-ish1 tree-ish2 -- path/to/file_or_directory "Add files to a changelist, creating the changelist if it does not exist",svn changelist changelist_name path/to/file1 path/to/file2 Remove files from a changelist,svn changelist --remove path/to/file1 path/to/file2 Remove the whole changelist at once,svn changelist --remove --recursive --changelist changelist_name . Add the contents of a space-separated list of directories to a changelist,svn changelist --recursive changelist_name path/to/directory1 path/to/directory2 ... Commit a changelist,svn commit --changelist changelist_name Display the `toolbox` manual,toolbox help Display the `toolbox` manual for a specific subcommand,toolbox help subcommand "Initialize a new Typst project in a given directory using a template (e.g., `@preview/charged-ieee`)","typst init ""template"" path/to/directory" Compile a Typst file,typst compile path/to/source.typ path/to/output.pdf Watch a Typst file and recompile on changes,typst watch path/to/source.typ path/to/output.pdf List all discoverable fonts in the system and the given directory,typst --font-path path/to/fonts_directory fonts Create a `package.json` file,pnpm init Download all the packages listed as dependencies in `package.json`,pnpm install Download a specific version of a package and add it to the list of dependencies in `package.json`,pnpm add module_name@version Download a package and add it to the list of [D]ev dependencies in `package.json`,pnpm add -D module_name Download a package and install it [g]lobally,pnpm add -g module_name Uninstall a package and remove it from the list of dependencies in `package.json`,pnpm remove module_name Print a tree of locally installed modules,pnpm list List top-level [g]lobally installed modules,pnpm list -g --depth=0 Open a file,less source_file Page down/up," (down), b (up)" Go to end/start of file,"G (end), g (start)" Forward search for a string (press `n`/`N` to go to next/previous match),/something Backward search for a string (press `n`/`N` to go to next/previous match),?something Follow the output of the currently opened file,F Open the current file in an editor,v Exit,q Capture the entire X server screen into a PostScript file,magick import -window root path/to/output.ps Capture contents of a remote X server screen into a PNG image,magick import -window root -display remote_host:screen.display path/to/output.png Capture a specific window given its ID as displayed by `xwininfo` into a JPEG image,magick import -window window_id path/to/output.jpg Start the GUI or bring it to front,clementine Start playing music,clementine url|path/to/music.ext Toggle between pausing and playing,clementine --play-pause Stop playback,clementine --stop Skip to the next or previous track,clementine --next|previous Create a new playlist with one or more music files or URLs,clementine --create url1 url2 ... | path/to/music1.ext path/to/music2.ext ... Load a playlist file,clementine --load path/to/playlist.ext Play a specific track in the currently loaded playlist,clementine --play-track 5 Start a REPL (interactive shell),kotlinc Compile a Kotlin file,kotlinc path/to/file.kt Compile several Kotlin files,kotlinc path/to/file1.kt path/to/file2.kt ... Execute a specific Kotlin Script file,kotlinc -script path/to/file.kts Compile a Kotlin file into a self contained jar file with the Kotlin runtime library included,kotlinc path/to/file.kt -include-runtime -d path/to/file.jar Run default checks on a source file,clang-tidy path/to/file.cpp Don't run any checks other than the `cppcoreguidelines` checks on a file,"clang-tidy path/to/file.cpp -checks=-*,cppcoreguidelines-*" List all available checks,clang-tidy -checks=* -list-checks Specify defines and includes as compilation options (after `--`),clang-tidy path/to/file.cpp -- -Imy_project/include -Ddefinitions Initialize and configure the Blackfire client,blackfire config Launch the Blackfire agent,blackfire agent Launch the Blackfire agent on a specific socket,"blackfire agent --socket=""tcp://127.0.0.1:8307""" Run the profiler on a specific program,blackfire run php path/to/file.php Run the profiler and collect 10 samples,blackfire --samples 10 run php path/to/file.php Run the profiler and output results as JSON,blackfire --json run php path/to/file.php Upload a profiler file to the Blackfire web service,blackfire upload path/to/file View the status of profiles on the Blackfire web service,blackfire status Request the identification info of a given device,sudo hdparm -I /dev/device Get the Advanced Power Management level,sudo hdparm -B /dev/device "Set the Advanced Power Management value (values 1-127 permit spin-down, and values 128-254 do not)",sudo hdparm -B 1 /dev/device Display the device's current power mode status,sudo hdparm -C /dev/device Force a drive to immediately enter standby mode (usually causes a drive to spin down),sudo hdparm -y /dev/device "Put the drive into idle (low-power) mode, also setting its standby timeout",sudo hdparm -S standby_timeout device Test the read speed of a specific device,sudo hdparm -tT device Convert a PNM image to a Palm bitmap,pnmtopalm path/to/file.pnm > path/to/file.palm Specify the color depth of the resulting bitmap,pnmtopalm -depth 1|2|4|8|16 path/to/file.pnm > path/to/file.palm Choose a compression method for the resulting bitmap,pnmtopalm -scanline_compression|rle_compression|packbits_compression path/to/file.pnm > path/to/file.palm Build a custom colormap and include it in the resulting bitmap,pnmtopalm -colormap path/to/file.pnm > path/to/file.palm Specify the bitmap's density,pnmtopalm -density 72|108|144|216|288 path/to/file.pnm > path/to/file.palm Start `hangups`,hangups Display troubleshooting information and help,hangups -h Set a refresh token for hangups,hangups --token-path path/to/token Open a text file,kwrite path/to/file Open multiple text files,kwrite file1 file2 ... Open a text file with a specific encoding,kwrite --encoding=UTF-8 path/to/file Open a text file and navigate to a specific line and column,kwrite --line line_number --column column_number path/to/file Display limit values for all current resources for the running parent process,prlimit Display limit values for all current resources of a specified process,prlimit --pid pid_number Run a command with a custom number of open files limit,prlimit --nofile=10 command List non-free and contrib packages (and their description),vrms Only output the package names,vrms --sparse Connect to a specific database,usql sqlserver|mysql|postgres|sqlite3|...://username:password@host:port/database_name Execute commands from a file,usql --file=path/to/query.sql Execute a specific SQL command,"usql --command=""sql_command""" Run an SQL command in the `usql` prompt,prompt=> command Display the database schema,prompt=> \d Export query results to a specific file,prompt=> \g path/to/file_with_results Import data from a CSV file into a specific table,prompt=> \copy path/to/data.csv table_name Print lines of code in the current directory,scc Print lines of code in the target directory,scc path/to/directory Display output for every file,scc --by-file Display output using a specific output format (defaults to `tabular`),scc --format tabular|wide|json|csv|cloc-yaml|html|html-table Only count files with specific file extensions,"scc --include-ext go,java,js" Exclude directories from being counted,"scc --exclude-dir .git,.hg" Display output and sort by column (defaults to by files),scc --sort files|name|lines|blanks|code|comments|complexity Display help,scc -h Build in the current directory,ninja "Build in the current directory, executing 4 jobs at a time in parallel",ninja -j 4 Build a program in a given directory,ninja -C path/to/directory Show targets (e.g. `install` and `uninstall`),ninja -t targets Display help,ninja -h Compile multiple source files into an executable,gfortran path/to/source1.f90 path/to/source2.f90 ... -o path/to/output_executable "Show common warnings, debug symbols in output, and optimize without affecting debugging",gfortran path/to/source.f90 -Wall -g -Og -o path/to/output_executable Include libraries from a different path,gfortran path/to/source.f90 -o path/to/output_executable -Ipath/to/mod_and_include -Lpath/to/library -llibrary_name Compile source code into Assembler instructions,gfortran -S path/to/source.f90 Compile source code into an object file without linking,gfortran -c path/to/source.f90 Log only HTTPS POST traffic on port 10000 by default,sslstrip Log only HTTPS POST traffic on port 8080,sslstrip --listen=8080 Log all SSL traffic to and from the server on port 8080,sslstrip --ssl --listen=8080 Log all SSL and HTTP traffic to and from the server on port 8080,sslstrip --listen=8080 --all Specify the file path to store the logs,sslstrip --listen=8080 --write=path/to/file Display help,sslstrip --help List all duplicate packages within `node_modules`,npm find-dupes Include `devDependencies` in duplicate detection,npm find-dupes --include=dev List all duplicate instances of a specific package in `node-modules`,npm find-dupes package_name Exclude optional dependencies from duplicate detection,npm find-dupes --omit=optional Set the logging level for output,npm find-dupes --loglevel=silent|error|warn|info|verbose Output duplicate information in JSON format,npm find-dupes --json Limit duplicate search to specific scopes,"npm find-dupes --scope=@scope1,@scope2" Exclude specific scopes from duplicate detection,"npm find-dupes --omit-scope=@scope1,@scope2" Run a shell builtin,builtin command List all objects of a specific type,aws sns list-origination-numbers|phone-numbers-opted-out|platform-applications|sms-sandbox-phone-numbers|subscriptions|topics Create a topic with a specific name and show its Amazon Resource Name (ARN),aws sns create-topic --name name Subscribe an email address to the topic with a specific ARN and show the subscription ARN,aws sns subscribe --topic-arn topic_ARN --protocol email --notification-endpoint email Publish a message to a specific topic or phone number and show the message ID,"aws sns publish --topic-arn ""arn:aws:sns:us-west-2:123456789012:topic-name""||--phone-number +1-555-555-0100 --message file://path/to/file" Delete the subscription with a specific ARN from its topic,aws sns unsubscribe --subscription-arn subscription_ARN Create a platform endpoint,aws sns create-platform-endpoint --platform-application-arn platform_application_ARN --token token Add a statement to a topic's access control policy,aws sns add-permission --topic-arn topic_ARN --label topic_label --aws-account-id account_id --action-name AddPermission|CreatePlatformApplication|DeleteEndpoint|GetDataProtectionPolicy|GetEndpointAttributes|Subscribe|... Add a tag to the topic with a specific ARN,"aws sns tag-resource --resource-arn topic_ARN --tags Key=tag1_key Key=tag2_key,Value=tag2_value ..." Execute the standard option (traceroute) to a destination,scamper -i 192.0.2.1 Execute two actions (ping and traceroute) on two different targets,"scamper -I ""ping 192.0.2.1"" -I ""trace 192.0.2.2""" "Ping several hosts with UDP, use a specific port number for the first ping and increase it for each subsequent ping","scamper -c ""ping -P UDP-dport -d 33434"" -i 192.0.2.1 -i 192.0.2.2" "Use the Multipath Discovery Algorithm (MDA) to determine the presence of load-balanced paths to the destination and use ICMP echo packets to sample with a maximum of three attempts, write the result to a `warts` file","scamper -O warts -o path/to/output.warts -I ""tracelb -P ICMP-echo -q 3 192.0.2.1""" Execute a Paris traceroute with ICMP to a destination and save the result in a compressed `warts` file,"scamper -O warts.gz -o path/to/output.warts -I ""trace -P icmp-paris 2001:db8:dead:beaf::4""" Record all ICMP packets that arrive at a specific IP address and have a specific ICMP ID in a `warts` file,"scamper -O warts -o path/to/output.warts -I ""sniff -S 2001:db8:dead:beef::6 icmp[icmpid] == 101""" Mirror an image horizontally or vertically,jpegtran -flip horizontal|vertical path/to/image.jpg > path/to/output.jpg "Rotate an image 90, 180 or 270 degrees clockwise",jpegtran -rotate 90|180|270 path/to/image.jpg > path/to/output.jpg Transpose the image across the upper-left to lower right axis,jpegtran -transpose path/to/image.jpg > path/to/output.jpg Transverse the image across the upper right to lower left axis,jpegtran -transverse path/to/image.jpg > path/to/output.jpg Convert the image to grayscale,jpegtran -grayscale path/to/image.jpg > path/to/output.jpg "Crop the image to a rectangular region of width `W` and height `H` from the upper-left corner, saving the output to a specific file",jpegtran -crop WxH -outfile path/to/output.jpg path/to/image.jpg "Crop the image to a rectangular region of width `W` and height `H`, starting at point `X` and `Y` from the upper-left corner",jpegtran -crop WxH+X+Y path/to/image.jpg > path/to/output.jpg Delete elements matching an XPATH from an XML document,"xml edit --delete ""XPATH1"" path/to/input.xml|URI" Move an element node of an XML document from XPATH1 to XPATH2,"xml edit --move ""XPATH1"" ""XPATH2"" path/to/input.xml|URI" "Rename all attributes named ""id"" to ""ID""","xml edit --rename ""//*/@id"" -v ""ID"" path/to/input.xml|URI" "Rename sub-elements of the element ""table"" that are named ""rec"" to ""record""","xml edit --rename ""/xml/table/rec"" -v ""record"" path/to/input.xml|URI" "Update the XML table record with ""id=3"" to the value ""id=5""","xml edit --update ""xml/table/rec[@id=3]/@id"" -v 5 path/to/input.xml|URI" Display help,xml edit --help Display help,docker system Show Docker disk usage,docker system df Show detailed information on disk usage,docker system df --verbose Remove unused data,docker system prune Remove unused data created more than a specified amount of time in the past,"docker system prune --filter ""until=hourshminutesm""" Display real-time events from the Docker daemon,docker system events Display real-time events from containers streamed as valid JSON Lines,docker system events --filter 'type=container' --format 'json .' Display system-wide information,docker system info Show a given author's commits from the last 10 days,git standup -a name|email -d 10 Show a given author's commits from the last 10 days and whether they are GPG signed,git standup -a name|email -d 10 -g Show all the commits from all contributors for the last 10 days,git standup -a all -d 10 Display help,git standup -h View documentation for the current command,tldr pamtotga Read from file and view in `less`,tspin path/to/application.log Read from another command and print to stdout,journalctl -b --follow | tspin Read from file and print to `stdout`,tspin path/to/application.log --print Read from `stdin` and print to `stdout`,"echo ""2021-01-01 12:00:00 [INFO] This is a log message"" | tspin" List all routes,rails routes List all routes in an expanded format,rails routes --expanded "List routes partially matching URL helper method name, HTTP verb, or URL path",rails routes -g posts_path|GET|/posts List routes that map to a specified controller,rails routes -c posts|Posts|Blogs::PostsController Display version,go version Display the Go version used to build a specific executable file,go version path/to/executable Capture a video for a specific amount of seconds,rpicam-raw -t 2000 -o path/to/file.raw Change video dimensions and framerate,rpicam-raw -t 5000 --width 4056 --height 3040 -o path/to/file.raw --framerate 8 Open a web page to start a bug report,go bug "Launch Steam, printing debug messages to `stdout`",steam Launch Steam and enable its in-app debug console tab,steam -console Enable and open the Steam console tab in a running Steam instance,steam steam://open/console Log into Steam with the specified credentials,steam -login username password Launch Steam in Big Picture Mode,steam -tenfoot Exit Steam,steam -shutdown Trigger a run manually,logrotate path/to/logrotate.conf --force Run using a specific command to mail reports,logrotate path/to/logrotate.conf --mail /usr/bin/mail_command Run without using a state (lock) file,logrotate path/to/logrotate.conf --state /dev/null Run and skip the state (lock) file check,logrotate path/to/logrotate.conf --skip-state-lock Tell `logrotate` to log verbose output into the log file,logrotate path/to/logrotate.conf --log path/to/log_file Display information about an installable package,urpmq -i package Display direct dependencies of a package,urpmq --requires package Display direct and indirect dependencies of a package,urpmq --requires-recursive package List the not installed packages needed for an RPM file with their sources,sudo urpmq --requires-recursive -m --sources path/to/file.rpm "List all configured media with their URLs, including inactive media",urpmq --list-media --list-url "Search for a package printing [g]roup, version and [r]elease",urpmq -g -r --fuzzy keyword Search for a package with using its exact name,urpmq -g -r package Move a file inside the repo and add the movement to the next commit,git mv path/to/file new/path/to/file Rename a file or directory and add the renaming to the next commit,git mv path/to/file_or_directory path/to/destination Overwrite the file or directory in the target path if it exists,git mv --force path/to/file_or_directory path/to/destination Run command as new root directory,chroot path/to/new/root command Use a specific user and group,chroot --userspec=username_or_id:group_name_or_id Run load test locally,k6 run script.js Run load test locally with a given number of virtual users and duration,k6 run --vus 10 --duration 30s script.js Run load test locally with a given environment variable,k6 run -e HOSTNAME=example.com script.js Run load test locally using InfluxDB to store results,k6 run --out influxdb=http://localhost:8086/k6db script.js Run load test locally and discard response bodies (significantly faster),k6 run --discard-response-bodies script.js Run load test locally using the base JavaScript compatibility mode (significantly faster),k6 run --compatibility-mode=base script.js Log in to cloud service using secret token,k6 login cloud --token secret Run load test on cloud infrastructure,k6 cloud script.js "Analyze a heap dump (from `jmap`), view via HTTP on port 7000",jhat dump_file.bin "Analyze a heap dump, specifying an alternate port for the HTTP server",jhat -p port dump_file.bin Analyze a dump letting `jhat` use up to 8 GB RAM (2-4x dump size recommended),jhat -J-mx8G dump_file.bin "List all processes showing the PID, user, CPU usage, memory usage, and the command which started them",procs List all processes as a tree,procs --tree "List information about processes, if the commands which started them contain Zsh",procs zsh List information about all processes sorted by CPU time in [a]scending or [d]escending order,procs --sorta|--sortd cpu "List information about processes with either a PID, command, or user containing `41` or `firefox`",procs --or PID|command|user 41 firefox List information about processes with both PID `41` and a command or user containing `zsh`,procs --and 41 zsh Start `texlua` to act as a Lua interpreter,lualatex Compile a Tex file to PDF,lualatex path/to/file.tex Compile a Tex file without error interruption,lualatex -interaction nonstopmode path/to/file.tex Compile a Tex file with a specific output file name,lualatex -jobname=filename path/to/file.tex Display system information,fastfetch Fetch a specific structure,fastfetch --structure structure Load a custom configuration file,fastfetch --load-config path/to/config_file Use a specific logo,fastfetch --logo logo Display system information without a logo,fastfetch --logo none Use a specific color for the keys and title,fastfetch --color blue Build the first project file in the current directory,msbuild Build a specific project file,msbuild path/to/project_file Specify one or more semicolon-separated targets to build,msbuild path/to/project_file /target:targets Specify one or more semicolon-separated properties,msbuild path/to/project_file /property:name=value Specify the build tools version to use,msbuild path/to/project_file /toolsversion:version Display detailed information at the end of the log about how the project was configured,msbuild path/to/project_file /detailedsummary Display help,msbuild /help Add a reference to the project in the current directory,dotnet add reference path/to/reference.csproj Add multiple references to the project in the current directory,dotnet add reference path/to/reference1.csproj path/to/reference2.csproj ... Add a reference to the specific project,dotnet add path/to/project.csproj reference path/to/reference.csproj Add multiple references to the specific project,dotnet add path/to/project.csproj reference path/to/reference1.csproj path/to/reference2.csproj ... List all local USB devices and their bus ID's,usbip list --local Start a `usbip` daemon on the server,systemctl start usbipd Bind a USB device to `usbip` on the server,sudo usbip bind --busid=bus_id Load the kernel module required by `usbip` on the client,sudo modprobe vhci-hcd Attach to the `usbip` device on the client (bus ID is the same as on the server),sudo usbip attach -r ip_address --busid=bus_id List attached devices,usbip port Detach from a device,sudo usbip detach --port=port Unbind a device,usbip unbind --busid=bus_id Manage project channels,pixi project channel command Manage project description,pixi project description command Manage project platform,pixi project platform command Manage project version,pixi project version command Manage project environment,pixi project environment command Get the current backlight value in percent,light Set the backlight value to 50 percent,light -S 50 Reduce 20 percent from the current backlight value,light -U 20 Add 20 percent to the current backlight value,light -A 20 Visualize SIP packets from a PCAP file,sngrep -I path/to/file.pcap Visualize only dialogs starting with INVITE packets with RTP packets from a PCAP file,sngrep -crI path/to/file.pcap Real-time interface with only dialogs starting with INVITE packets with RTP packets,sngrep -cr Only capture packets without interface to a file,sngrep -NO path/to/file.pcap Create a database owned by the current user,createdb database_name Create a database owned by a specific user with a description,createdb --owner username database_name 'description' Create a database from a template,createdb --template template_name database_name Initialize a `perlbrew` environment,perlbrew init List available Perl versions,perlbrew available Install/uninstall a Perl version,perlbrew install|uninstall version List perl installations,perlbrew list Switch to an installation and set it as default,perlbrew switch perl-version Use the system Perl again,perlbrew off List installed CPAN modules for the installation in use,perlbrew list-modules Clone CPAN modules from one installation to another,perlbrew clone-modules source_installation destination_installation Show a preview of updates to a stack's resources,pulumi preview Show a preview of updates to a stack's resources in JSON format,pulumi preview --json Preview updates as a rich diff showing overall changes,pulumi preview --diff Display help,pulumi preview --help Deploy an app's code and configuration to the App Engine server,gcloud app deploy deployables List all versions of all services deployed to the App Engine server,gcloud app versions list Open the current app in a web browser,gcloud app browse Create an App Engine app within the current project,gcloud app create Display the latest App Engine app logs,gcloud app logs read Pipe `stdout` to `stdin`,command | command Pipe both `stdout` and `stderr` to `stdin`,command |& command "Display a cow saying ""hello, world""","xcowsay ""hello, world""" Display a cow with output from another command,ls | xcowsay Display a cow at the specified X and Y coordinates,"xcowsay --at=X,Y" Display a different sized cow,xcowsay --cow-size=small|med|large Display a thought bubble instead of a speech bubble,xcowsay --think Display a different image instead of the default cow,xcowsay --image=path/to/file Run with the GUI,zotero Run in headless mode,zotero --headless Run with a specific profile,zotero -P profile Run the Migration Assistant,zotero --migration View documentation for the current command,tldr pamtouil Choose a template interactively,pulumi new Create a project from a specific template (e.g `azure-python`),pulumi new provided-template Create a project from a local file,pulumi new path/to/templates/aws-typescript Create a project from a Git repository,pulumi new url Use the specified secrets provider with the backend,pulumi new --secrets-provider=passphrase "Enable module ""foo""",drush en foo "Uninstall module ""foo""",drush pmu foo Clear all caches,drush cr Clear CSS and JavaScript caches,drush cc css-js Start the Logical Volume Manager interactive shell,sudo lvm Initialize a drive or partition to be used as a physical volume,sudo lvm pvcreate /dev/sdXY Display information about physical volumes,sudo lvm pvdisplay Create a volume group called vg1 from the physical volume on `/dev/sdXY`,sudo lvm vgcreate vg1 /dev/sdXY Display information about volume groups,sudo lvm vgdisplay Create a logical volume with size 10G from volume group vg1,sudo lvm lvcreate -L 10G vg1 Display information about logical volumes,sudo lvm lvdisplay Display help for a specific command,lvm help command Create an empty repository,repo-add path/to/database.db.tar.gz Add all package binaries in the current directory and remove the old database file,repo-add --remove path/to/database.db.tar.gz *.pkg.tar.zst Add all package binaries in the current directory in silent mode except for warning and error messages,repo-add --quiet path/to/database.db.tar.gz *.pkg.tar.zst Add all package binaries in the current directory without showing color,repo-add --nocolor path/to/database.db.tar.gz *.pkg.tar.zst List global (extern) functions in a file (prefixed with T),nm -g path/to/file.o List only undefined symbols in a file,nm -u path/to/file.o "List all symbols, even debugging symbols",nm -a path/to/file.o Demangle C++ symbols (make them readable),nm --demangle path/to/file.o Let a steam locomotive run through your terminal,sl "The train burns, people scream",sl -a Let the train fly,sl -F Make the train little,sl -l Let the user exit (CTRL + C),sl -e Set a secret for the current repository (user will be prompted for the value),gh secret set name Set a secret from a file for the current repository,gh secret set name < path/to/file Set a secret for a specific repository,gh secret set name --body value --repo owner/repository Set an organization secret for specific repositories,"gh secret set name --org organization --repos ""repository1,repository2,...""" Set an organization secret with a specific visibility,gh secret set name --org organization --visibility all|private|selected [a]dd a file or directory to a new or existing archive,7z a path/to/archive.7z path/to/file_or_directory Encrypt an existing archive (including filenames),7z a path/to/encrypted.7z -ppassword -mhe=on path/to/archive.7z E[x]tract an archive preserving the original directory structure,7z x path/to/archive.7z E[x]tract an archive to a specific directory,7z x path/to/archive.7z -opath/to/output E[x]tract an archive to `stdout`,7z x path/to/archive.7z -so [a]rchive using a specific archive type,7z a -t7z|bzip2|gzip|lzip|tar|zip path/to/archive path/to/file_or_directory [l]ist the contents of an archive,7z l path/to/archive.7z "Set the level of compression (higher means more compression, but slower)",7z a path/to/archive.7z -mx=0|1|3|5|7|9 path/to/file_or_directory Fetch the newest version of Homebrew and all formulae,brew update Search for a pattern within a file,"egrep ""search_pattern"" path/to/file" Search for a pattern within multiple files,"egrep ""search_pattern"" path/to/file1 path/to/file2 ..." Search `stdin` for a pattern,cat path/to/file | egrep search_pattern Print file name and line number for each match,"egrep --with-filename --line-number ""search_pattern"" path/to/file" "Search for a pattern in all files recursively in a directory, ignoring binary files","egrep --recursive --binary-files=without-match ""search_pattern"" path/to/directory" Search for lines that do not match a pattern,"egrep --invert-match ""search_pattern"" path/to/file" Print the count of unread articles,feedreader --unreadCount Add a URL for a feed to follow,feedreader --addFeed=feed_url Grab a specific article using its URL,feedreader --grabArticle=article_url Download all images from a specific article,feedreader --url=feed_url --grabImages=article_path Play media from a URL,feedreader --playMedia=article_url Execute a DVC subcommand,dvc subcommand Display general help,dvc --help Display help about a specific subcommand,dvc subcommand --help Display version,dvc --version Change to a specific runlevel,sudo openrc runlevel_name "Change to a specific runlevel, but don't stop any existing services",sudo openrc --no-stop runlevel_name Show the bandwidth usage,sudo iftop Show the bandwidth usage of a given interface,sudo iftop -i interface Show the bandwidth usage with port information,sudo iftop -P Do not show bar graphs of traffic,sudo iftop -b Do not look up hostnames,sudo iftop -n Display help,? List packages for a user or scope,npm access list packages user|scope|scope:team package_name List collaborators on a package,npm access list collaborators package_name username Get status of a package,npm access get status package_name Set package status (public or private),npm access set status=public|private package_name Grant access to a package,npm access grant read-only|read-write scope:team package_name Revoke access to a package,npm access revoke scope:team package_name Configure two-factor authentication requirement,npm access set mfa=none|publish|automation package_name Convert a bitcode file as LLVM IR and write the result to `stdout`,llvm-dis path/to/input.bc -o - Convert a bitcode file to an LLVM IR file with the same filename,llvm-dis path/to/file.bc "Convert a bitcode file to LLVM IR, writing the result to the specified file",llvm-dis path/to/input.bc -o path/to/output.ll Create disk image with a specific size (in gigabytes),qemu-img create image_name.img gigabytesG Show information about a disk image,qemu-img info image_name.img Increase or decrease image size,qemu-img resize image_name.img gigabytesG Dump the allocation state of every sector of the specified disk image,qemu-img map image_name.img Convert a VMware .vmdk disk image to a KVM .qcow2 disk image,qemu-img convert -f vmdk -O qcow2 path/to/file/foo.vmdk path/to/file/foo.qcow2 Start an interactive shell session on a node in the cluster,salloc Execute the specified command synchronously on a node in the cluster,salloc ls -a Only allocate nodes fulfilling the specified constraints,salloc --constraint=(amd|intel)&gpu Show the current version of Azure CLI modules and extensions in JSON format,az version Show the current version of Azure CLI modules and extensions in a given format,az version --output json|table|tsv Start MonoDevelop,monodevelop Open a specific file,monodevelop path/to/file Open a specific file with the caret at a specific position,monodevelop path/to/file;line_number;column_number Force opening a new window instead of switching to an existing one,monodevelop --new-window Disable redirection of `stdout` and `stderr` to a log file,monodevelop --no-redirect Enable performance monitoring,monodevelop --perf-log Convert a PNM image to a compressed FIASCO file,pnmtofiasco path/to/file.pnm > path/to/file.fiasco Specify the [i]nput files through a pattern,"pnmtofiasco --image-name ""img[01-09+1].pnm"" > path/to/file.fiasco" Specify the compression quality,pnmtofiasco --quality quality_level path/to/file.pnm > path/to/file.fiasco Load the options to be used from the specified configuration file,pnmtofiasco --config path/to/fiascorc path/to/file.pnm > path/to/file.fiasco Show a live view of the functions that take the most execution time of a running process,py-spy top --pid pid Start a program and show a live view of the functions that take the most execution time,py-spy top -- python path/to/file.py Produce an SVG flame graph of the function call execution time,py-spy record -o path/to/profile.svg --pid pid Dump the call stack of a running process,py-spy dump --pid pid "Mimic the default output of `cmatrix` (no unicode, works in a TTY)",unimatrix --no-bold --speed 96 --character-list o "No bold characters, slowly, with emojis, numbers, and a few symbols",unimatrix --no-bold --speed 50 --character-list ens Change the color of characters,unimatrix --color red|green|blue|white|... Select character set(s) using letter codes (see `unimatrix --help` for available character sets),unimatrix --character-list character_sets Change the scrolling speed,unimatrix --speed number Test the default speakers with pink noise,speaker-test Test the default speakers with a sine wave,speaker-test -t|--test sine -f|--frequency frequency Test the default speakers with a predefined WAV file,speaker-test -t|--test wav Test the default speakers with a WAV file,speaker-test -t|--test wav -w|--wavfile path/to/file List devices with changeable brightness,brightnessctl --list Print the current brightness of the display backlight,brightnessctl get Set the brightness of the display backlight to a specified percentage within range,brightnessctl set 50% Increase brightness by a specified increment,brightnessctl set +10% Decrease brightness by a specified decrement,brightnessctl set 10%- "Align two or more sequences using blastp, with the e-value threshold of 1e-9, pairwise output format, output to screen",blastp -query query.fa -subject subject.fa -evalue 1e-9 Align two or more sequences using blastp-fast,blastp -task blastp-fast -query query.fa -subject subject.fa "Align two or more sequences, custom tabular output format, output to file",blastp -query query.fa -subject subject.fa -outfmt '6 qseqid qlen qstart qend sseqid slen sstart send bitscore evalue pident' -out output.tsv "Search protein databases using a protein query, 16 threads to use in the BLAST search, with a maximum number of 10 aligned sequences to keep",blastp -query query.fa -db blast_database_name -num_threads 16 -max_target_seqs 10 Search the remote non-redundant protein database using a protein query,blastp -query query.fa -db nr -remote Display help (use `-help` for detailed help),blastp -h Connect to a remote server and enter an interactive command mode,sftp remote_user@remote_host Connect using an alternate port,sftp -P remote_port remote_user@remote_host Connect using a predefined host (in `~/.ssh/config`),sftp host Transfer remote file to the local system,get /path/remote_file Transfer local file to the remote system,put /path/local_file Transfer remote directory to the local system recursively (works with `put` too),get -R /path/remote_directory Get list of files on local machine,lls Get list of files on remote machine,ls List available domains for your AWS account,aws codeartifact list-domains Generate credentials for a specific package manager,aws codeartifact login --tool npm|pip|twine --domain your_domain --repository repository_name Get the endpoint URL of a CodeArtifact repository,aws codeartifact get-repository-endpoint --domain your_domain --repository repository_name --format npm|pypi|maven|nuget|generic Display help,aws codeartifact help Display help for a specific subcommand,aws codeartifact subcommand help List the contents of an archive,pax -f archive.tar List the contents of a `gzip` archive,pax -zf archive.tar.gz Create an archive from files,pax -wf target.tar path/to/file1 path/to/file2 ... "Create an archive from files, using output redirection",pax -w path/to/file1 path/to/file2 ... > target.tar Extract an archive into the current directory,pax -rf source.tar "Copy to a directory, while keeping the original metadata; `target/` must exist",pax -rw path/to/file1 path/to/directory1 path/to/directory2 ... target/ "Add packages to the local image (Note: after executing this command, you need to apply these changes.)",sudo abroot pkg add package "Remove packages from the local image (Note: after executing this command, you need to apply these changes.)",sudo abroot pkg remove package List packages in the local image,sudo abroot pkg list Apply changes in the local image (Note: you need to reboot your system for these changes to be applied),sudo abroot pkg apply Rollback your system to previous state,sudo abroot rollback Edit/View kernel parameters,sudo abroot kargs edit|show Display status,sudo abroot status Display help,abroot --help Build the documentation for the current project and all dependencies,cargo doc Do not build documentation for dependencies,cargo doc --no-deps Build and open the documentation in a browser,cargo doc --open Build and view the documentation of a particular package,cargo doc --open --package package Create and push a release,git release tag_name Create and push a signed release,git release tag_name -s Create and push a release with a message,"git release tag_name -m ""message""" Display the root location of the current repository,hg root Display the root location of the specified repository,hg root --cwd path/to/directory Remove job number 10,atrm 10 "Remove many jobs, separated by spaces",atrm 15 17 22 Print multiple files with a default header and footer,pr path/to/file1 path/to/file2 ... Print with a custom centered header,"pr -h ""header"" path/to/file1 path/to/file2 ..." Print with numbered lines and a custom date format,"pr -n -D ""format"" path/to/file1 path/to/file2 ..." "Print all files together, one in each column, without a header or footer",pr -m -T path/to/file1 path/to/file2 ... "Print, beginning at page 2 up to page 5, with a given page length (including header and footer)",pr +2:5 -l page_length path/to/file1 path/to/file2 ... Print with an offset for each line and a truncating custom page width,pr -o offset -W width path/to/file1 path/to/file2 ... Execute a bitcode or IR file,lli path/to/file.ll Execute with command-line arguments,lli path/to/file.ll argument1 argument2 ... Enable all optimizations,lli -O3 path/to/file.ll Load a dynamic library before linking,lli --dlopen=path/to/library.dll path/to/file.ll Read an IDX file for a Git packfile and dump its contents to `stdout`,git show-index path/to/file.idx Specify the hash algorithm for the index file (experimental),git show-index --object-format=sha1|sha256 path/to/file Update or set a Git configuration value,yadm gitconfig key.inner-key value Get a value from `yadm`'s Git configuration,yadm gitconfig --get key Unset a value in `yadm`'s Git configuration,yadm gitconfig --unset key List all values in `yadm`'s Git configuration,yadm gitconfig --list Initialize a project for `libtool` by copying necessary files (avoiding symbolic links) and overwriting existing files if needed,libtoolize --copy --force Add a timestamp to the beginning of each line,command | ts Add timestamps with microsecond precision,"command | ts ""%b %d %H:%M:%.S""" "Add [i]ncremental timestamps with microsecond precision, starting from zero","command | ts -i ""%H:%M:%.S""" Convert existing timestamps in a text file (eg. a log file) into [r]elative format,cat path/to/file | ts -r "Start imerge-based rebase (checkout the branch to be rebased, first)",git imerge rebase branch_to_rebase_onto "Start imerge-based merge (checkout the branch to merge into, first)",git imerge merge branch_to_be_merged Show ASCII diagram of in-progress merge or rebase,git imerge diagram "Continue imerge operation after resolving conflicts (`git add` the conflicted files, first)",git imerge continue --no-edit "Wrap up imerge operation, after all conflicts are resolved",git imerge finish "Abort imerge operation, and return to the previous branch",git-imerge remove && git checkout previous_branch View documentation for the original command,tldr git-cola Install the local CA in the system trust store,mkcert -install Generate certificate and private key for a given domain,mkcert example.org Generate certificate and private key for multiple domains,mkcert example.org myapp.dev 127.0.0.1 Generate wildcard certificate and private key for a given domain and its subdomains,"mkcert ""*.example.it""" Uninstall the local CA,mkcert -uninstall Check if a specific package name is available in the `npm` registry,npm-name package Find similar package names in the `npm` registry,npm-name --similar package Number non-blank lines in a file,nl path/to/file Read from `stdin`,command | nl - Number [a]ll [b]ody lines including blank lines or do [n]ot number [b]ody lines,nl -b a|n path/to/file Number only the [b]ody lines that match a basic regular expression (BRE) [p]attern,nl -b p'FooBar[0-9]' path/to/file Use a specific [i]ncrement for line numbering,nl -i increment path/to/file "Specify the line numbering format to [r]ight or [l]eft justified, keeping leading [z]eros or [n]ot",nl -n rz|ln|rn Specify the line numbering's [w]idth (6 by default),nl -w col_width path/to/file Use a specific string to [s]eparate the line numbers from the lines (TAB by default),nl -s separator path/to/file Run tests with default configuration or as configured in `mocha.opts`,mocha Run tests contained at a specific location,mocha directory/with/tests Run tests that match a specific `grep` pattern,mocha --grep regular_expression Run tests on changes to JavaScript files in the current directory and once initially,mocha --watch Run tests with a specific reporter,mocha --reporter reporter Print the absolute path of the current Git repository,git root Print the current working directory relative to the root of the current Git repository,git root --relative View documentation for the original command,tldr nc Count all objects and display the total disk usage,git count-objects "Display a count of all objects and their total disk usage, displaying sizes in human-readable units",git count-objects --human-readable Display more verbose information,git count-objects --verbose "Display more verbose information, displaying sizes in human-readable units",git count-objects --human-readable --verbose Run a `doctl databases db` command with an access token,doctl databases db command --access-token access_token Retrieve the name of the given database hosted in the given database cluster,doctl databases db get database_id database_name List existing databases hosted within a given database cluster,doctl databases db list database_id Create a database with the given name in the given database cluster,doctl databases db create database_id database_name Delete the database with the given name in the given database cluster,doctl databases db delete database_id database_name Start WordGrinder (loads a blank document by default),wordgrinder Open a given file,wordgrinder path/to/file Show the menu, + M Start the interactive installer,archinstall Start a preset installer,archinstall minimal|unattended Create a fullscreen screenshot,flameshot full Create a screenshot interactively,flameshot gui Create a screenshot and save it to a specific path,flameshot gui --path path/to/directory Create a screenshot interactively in a simplified mode,flameshot launcher Create a screenshot from a specific monitor,flameshot screen --number 2 Create a screenshot and print it to `stdout`,flameshot gui --raw Create a screenshot and copy it to the clipboard,flameshot gui --clipboard Create a screenshot with a specific delay in milliseconds,flameshot full --delay 5000 Display general help,cargo help Display help for a subcommand,cargo help subcommand Run using interactive mode,bitwise Convert from decimal,bitwise 12345 Convert from hexadecimal,bitwise 0x563d Convert a C-style calculation,"bitwise ""0x123 + 0x20 - 30 / 50""" Fix a Netpbm file that is missing its last part,pamfix -truncate path/to/corrupted.ext > path/to/output.ext Fix a Netpbm file where pixel values exceed the image's `maxval` by lowering the offending pixels' values,pamfix -clip path/to/corrupted.ext > path/to/output.ext Fix a Netpbm file where pixel values exceed the image's `maxval` by increasing it,pamfix -changemaxval path/to/corrupted.pam|pbm|pgm|ppm > path/to/output.pam|pbm|pgm|ppm Display a menu of the output of the `ls` command,ls | dmenu Display a menu with custom items separated by a new line (`\n`),"echo -e ""red\ngreen\nblue"" | dmenu" Let the user choose between multiple items and save the selected one to a file,"echo -e ""red\ngreen\nblue"" | dmenu > color.txt" Launch dmenu on a specific monitor,ls | dmenu -m 1 Display dmenu at the bottom of the screen,ls | dmenu -b Start the default shell as fakeroot,fakeroot Run a command as fakeroot,fakeroot -- command command_arguments Run a command as fakeroot and save the environment to a file on exit,fakeroot -s path/to/file -- command command_arguments Load a fakeroot environment and run a command as fakeroot,fakeroot -i path/to/file -- command command_arguments Run a command keeping the real ownership of files instead of pretending they are owned by root,fakeroot --unknown-is-real -- command command_arguments Display help,fakeroot --help "Collect garbage, such as removing unused paths",nix-store --gc Hard-link identical files together to reduce space usage,nix-store --optimise Delete a specific store path (must be unused),nix-store --delete /nix/store/... "Show all dependencies of a store path (package), in a tree format",nix-store --query --tree /nix/store/... Calculate the total size of a certain store path with all the dependencies,du -cLsh $(nix-store --query --references /nix/store/...) Show all dependents of a particular store path,nix-store --query --referrers /nix/store/... Capture a 10 second video,rpicam-vid -t 10000 -o path/to/file.h264 Infer ancestral sequences maximizing the joint or marginal likelihood,treetime ancestral Analyze patterns of recurrent mutations aka homoplasies,treetime homoplasy Estimate molecular clock parameters and reroot the tree,treetime clock Map discrete character such as host or country to the tree,treetime mugration Make a virtual mosaic from all TIFF files contained in a directory,gdalbuildvrt path/to/output.vrt path/to/input_directory/*.tif Make a virtual mosaic from files whose name is specified in a text file,gdalbuildvrt -input_file_list path/to/list.txt path/to/output.vrt Make an RGB virtual mosaic from 3 single-band input files,gdalbuildvrt -separate path/to/rgb.vrt path/to/red.tif path/to/green.tif path/to/blue.tif Make a virtual mosaic with blue background color (RGB: 0 0 255),"gdalbuildvrt -hidenodata -vrtnodata ""0 0 255"" path/to/output.vrt path/to/input_directory/*.tif" Log in to the Netlify account,netlify login Deploy the contents of a directory to Netlify,netlify deploy Configure continuous deployment for a new or an existing site,netlify init Start a local dev server,netlify dev Read JPEG image from a file and print in ASCII,jp2a path/to/image.jpeg Read JPEG image from a URL and print in ASCII,jp2a www.example.com/image.jpeg Colorize the ASCII output,jp2a --colors path/to/image.jpeg Specify characters to be used for the ASCII output,jp2a --chars='..-ooxx@@' path/to/image.jpeg Write the ASCII output into a file,jp2a --output=path/to/output_file.txt path/to/image.jpeg "Write the ASCII output in HTML file format, suitable for viewing in web browsers",jp2a --html --output=path/to/output_file.html path/to/image.jpeg "View documentation for `Get-NodeInstallLocation`, a tool to get the current Node.js install location",tldr get-nodeinstalllocation "View documentation for `Get-NodeVersions`, a tool to list all available and currently-installed Node.js versions",tldr get-nodeversions "View documentation for `Install-NodeVersion`, a tool to install Node.js runtime versions",tldr install-nodeversion "View documentation for `Remove-NodeVersion`, a tool to uninstall an existing Node.js version",tldr remove-nodeversion "View documentation for `Set-NodeInstallLocation`, a tool to set the Node.js install location",tldr set-nodeinstalllocation "View documentation for `Set-NodeVersion`, a tool to set the default version of Node.js",tldr set-nodeversion List all local locked files,git locked Build the package in the current directory,debuild Build a binary package only,debuild -b Do not run lintian after building the package,debuild --no-lintian Show last 'count' lines in file,tail --lines count path/to/file Print a file from a specific line number,tail --lines +count path/to/file Print a specific count of bytes from the end of a given file,tail --bytes count path/to/file Print the last lines of a given file and keep reading it until `Ctrl + C`,tail --follow path/to/file "Keep reading file until `Ctrl + C`, even if the file is inaccessible",tail --retry --follow path/to/file Show last 'num' lines in 'file' and refresh every 'n' seconds,tail --lines count --sleep-interval seconds --follow path/to/file "Archive a file, replacing it with a 7zipped compressed version",p7zip path/to/file Archive a file keeping the input file,p7zip -k path/to/file "Decompress a file, replacing it with the original uncompressed version",p7zip -d compressed.ext.7z Decompress a file keeping the input file,p7zip -d -k compressed.ext.7z Skip some checks and force compression or decompression,p7zip -f path/to/file "Fake the time to this evening, before printing the result of `date`",faketime 'today 23:30' date "Open a new Bash shell, which uses yesterday as the current date",faketime 'yesterday' bash Simulate how a program would act next Friday night,faketime 'next Friday 1 am' path/to/program Blend the specified PPM images using fadefactor to control the weight of each image,ppmmix fadefactor path/to/input_file1.ppm path/to/input_file2.ppm > path/to/output_file.ppm Search files containing a specific string in their name in a specific directory,mate-search-tool --named=string --path=path/to/directory Search files without waiting a user confirmation,mate-search-tool --start --named=string --path=path/to/directory Search files with name matching a specific regular expression,mate-search-tool --start --regex=string --path=path/to/directory Set a sorting order in search results,mate-search-tool --start --named=string --path=path/to/directory --sortby=name|folder|size|type|date Set a descending sorting order,mate-search-tool --start --named=string --path=path/to/directory --descending Search files owned by a specific user/group,mate-search-tool --start --user|group=value --path=path/to/directory Export an SVG file executing the specified Inkfile,inkmake path/to/Inkfile Execute an Inkfile and show detailed information,inkmake --verbose path/to/Inkfile "Execute an Inkfile, specifying SVG input file(s) and an output file",inkmake --svg path/to/file.svg --out path/to/output_image path/to/Inkfile Use a custom Inkscape binary as the backend,inkmake --inkscape /Applications/Inkscape.app/Contents/Resources/bin/inkscape path/to/Inkfile Display help,inkmake --help "Convert a PS file to PNM images, storing page N of the input to `path/to/fileN.ppm`",pstopnm path/to/file.ps Explicitly specify the output format,pstopnm -pbm|pgm|ppm path/to/file.ps Specify the resolution of the output in dots per inch,pstopnm -dpi n path/to/file.ps Create a PPM index image from a PCD overview file,pcdovtoppm path/to/file.pcd > path/to/output.ppm Specify the [m]aximum width of the output image and the maximum [s]ize of each of the images contained in the output,pcdovtoppm -m width -s size path/to/file.pcd > path/to/output.ppm Specify the maximum number of images [a]cross and the maximum number of [c]olours,pcdovtoppm -a n_images -c n_colours path/to/file.pcd > path/to/output.ppm Use the specified [f]ont for annotations and paint the background [w]hite,pcdovtoppm -f font -w path/to/file.pcd > path/to/output.ppm Register the current device to WARP (must be run before first connection),warp-cli registration new Connect to WARP,warp-cli connect Disconnect from WARP,warp-cli disconnect Display the WARP connection status,warp-cli status Switch to a specific mode,warp-cli set-mode mode Display help,warp-cli help Display help for a subcommand,warp-cli help subcommand Start in interactive mode,gpg-card Invoke one or more commands non-interactively,gpg-card command1 -- command2 -- command3 Show information about a smart card,gpg-card list Retrieve the public key using the URL stored on an OpenPGP card,gpg-card fetch Set the URL used by the `fetch` command,gpg-card url Change or unblock PINs (uses the default action for the card in non-interactive mode),gpg-card passwd Toggle the forcesig flag of an OpenPGP card (i.e. require entering the user PIN for signing),gpg-card forcesig Factory reset a smart card (i.e. delete all data and reset PINs),gpg-card factory-reset Convert between image formats,magick path/to/input_image.png path/to/output_image.jpg "Resize an image, making a new copy",magick path/to/input_image.jpg -resize 100x100 path/to/output_image.jpg Create a GIF out of all JPEG images in the current directory,magick *.jpg path/to/images.gif Create a checkerboard pattern,magick -size 640x480 pattern:checkerboard path/to/checkerboard.png Create a PDF file out of all JPEG images in the current directory,magick *.jpg -adjoin path/to/file.pdf Display the man page for a command,man command Display the man page for a command from section 7,man 7 command List all available sections for a command,man -f command Display the path searched for manpages,man --path Display the location of a manpage rather than the manpage itself,man -w command Display the man page using a specific locale,man command --locale=locale Search for manpages containing a search string,"man -k ""search_string""" Display Java version by using coursier,cs java -version Call a specific Java version with custom properties using coursier,cs java --jvm jvm_name:jvm_version -Xmx32m -Xanother_jvm_opt -jar path/to/jar_name.jar List all the available JVM in the coursier default index,cs java --available List all the installed JVM in the system with his own location,cs java --installed Set a specific JVM as one-off default for the shell instance,cs java --jvm jvm_name:jvm_version --env Revert the changes for the default JVM settings,"eval ""$(cs java --disable)""" Set a specific JVM as default for the whole system,cs java --jvm jvm_name:jvm_version --setup Install some packages from nixpkgs into the default profile,nix profile install nixpkgs#pkg1 nixpkgs#pkg2 ... Install a package from a flake on GitHub into a custom profile,nix profile install github:owner/repo/pkg --profile ./path/to/directory List packages currently installed in the default profile,nix profile list "Remove a package installed from nixpkgs from the default profile, by name",nix profile remove legacyPackages.x86_64-linux.pkg Upgrade packages in the default to the latest available versions,nix profile upgrade Rollback (cancel) the latest action on the default profile,nix profile rollback View keycodes in decimal,sudo showkey Display scancodes in hexadecimal,sudo showkey -s|--scancodes Display keycodes in decimal (default),sudo showkey -k|--keycodes "Display keycodes in ASCII, decimal, and hexadecimal",sudo showkey -a|--ascii Exit the program,Ctrl + d Show display output information,kscreen-doctor --outputs Set the rotation of a display output with an ID of 1 to the right,kscreen-doctor output.1.rotation.right Set the scale of a display output with an ID of `HDMI-2` to 2 (200%),kscreen-doctor output.HDMI-2.scale.2 "Display file using default settings: octal format, 8 bytes per line, byte offsets in octal, and duplicate lines replaced with `*`",od path/to/file "Display file in verbose mode, i.e. without replacing duplicate lines with `*`",od -v path/to/file "Display file in hexadecimal format (2-byte units), with byte offsets in decimal format",od --format=x --address-radix=d -v path/to/file "Display file in hexadecimal format (1-byte units), and 4 bytes per line",od --format=x1 --width=4 -v path/to/file "Display file in hexadecimal format along with its character representation, and do not print byte offsets",od --format=xz --address-radix=n -v path/to/file Read only 100 bytes of a file starting from the 500th byte,od --read-bytes 100 --skip-bytes=500 -v path/to/file Execute a Mercurial command,hg command Display help,hg help Display help for a specific command,hg help command Check the Mercurial version,hg --version Register a provider,az provider register --namespace Microsoft.PolicyInsights Unregister a provider,az provider unregister --namespace Microsoft.Automation List all providers for a subscription,az provider list Show information about a specific provider,az provider show --namespace Microsoft.Storage List all resource types for a specific provider,"az provider list --query ""[?namespace=='Microsoft.Network'].resourceTypes[].resourceType""" List available properties (and descriptions) for the given btrfs object,sudo btrfs property list path/to/btrfs_object Get all properties for the given btrfs object,sudo btrfs property get path/to/btrfs_object Get the `label` property for the given btrfs filesystem or device,sudo btrfs property get path/to/btrfs_filesystem label Get all object type-specific properties for the given btrfs filesystem or device,sudo btrfs property get -t subvol|filesystem|inode|device path/to/btrfs_filesystem Set the `compression` property for a given btrfs inode (either a file or directory),sudo btrfs property set path/to/btrfs_inode compression zstd|zlib|lzo|none Start the editor,pluma Open specific documents,pluma path/to/file1 path/to/file2 ... Open documents using a specific encoding,pluma --encoding WINDOWS-1252 path/to/file1 path/to/file2 ... Print all supported encodings,pluma --list-encodings Open document and go to a specific line,pluma +10 path/to/file Create a pull request,gh pr create Check out a specific pull request locally,gh pr checkout pr_number View the changes made in the pull request for the current branch,gh pr diff Approve the pull request for the current branch,gh pr review --approve Merge the pull request associated with the current branch interactively,gh pr merge Edit a pull request interactively,gh pr edit Edit the base branch of a pull request,gh pr edit --base branch_name Check the status of the current repository's pull requests,gh pr status Send a file to the trash,trash path/to/file List all files in the trash,trash-list Interactively restore a file from the trash,trash-restore Empty the trash,trash-empty Permanently delete all files in the trash which are older than 10 days,trash-empty 10 "Remove all files in the trash, which match a specific blob pattern","trash-rm ""*.o""" Remove all files with a specific original location,trash-rm /path/to/file_or_directory Interactively create a new package manager configuration,apx pkgmanagers create List all available package manager confirgurations,apx pkgmanagers list Remove a package manager configuration,apx pkgmanagers rm --name string Display information about a specific package manager,apx pkgmanagers show name Open a specific URL or file,chromium https://example.com|path/to/file.html Open in incognito mode,chromium --incognito example.com Open in a new window,chromium --new-window example.com "Open in application mode (without toolbars, URL bar, buttons, etc.)",chromium --app=https://example.com Use a proxy server,"chromium --proxy-server=""socks5://hostname:66"" example.com" Open with a custom profile directory,chromium --user-data-dir=path/to/directory Open without CORS validation (useful to test an API),chromium --user-data-dir=path/to/directory --disable-web-security Open with a DevTools window for each tab opened,chromium --auto-open-devtools-for-tabs List all the extensions created by a publisher,vsce list publisher "Publish an extension as major, minor or patch version",vsce publish major|minor|patch Unpublish an extension,vsce unpublish extension_id Package the current working directory as a `.vsix` file,vsce package Show the metadata associated with an extension,vsce show extension_id Flash a firmware file to an ESP chip with a given port and baud rate,sudo esptool.py --port port --baud baud_rate write_flash 0x0 path/to/firmware.bin Clear the flash of an ESP chip,sudo esptool.py --port port --baud baud_rate erase_flash Run Python web app,gunicorn import.path:app_object Listen on port 8080 on localhost,gunicorn --bind localhost:8080 import.path:app_object Turn on live reload,gunicorn --reload import.path:app_object Use 4 worker processes for handling requests,gunicorn --workers 4 import.path:app_object Use 4 worker threads for handling requests,gunicorn --threads 4 import.path:app_object Run app over HTTPS,gunicorn --certfile cert.pem --keyfile key.pem import.path:app_object Convert an XLS file to CSV,in2csv data.xls Convert a DBF file to a CSV file,in2csv data.dbf > data.csv Convert a specific sheet from an XLSX file to CSV,in2csv --sheet=sheet_name data.xlsx Pipe a JSON file to in2csv,cat data.json | in2csv -f json > data.csv Create a merge request,glab mr create Check out a specific merge request locally,glab mr checkout mr_number View the changes made in the merge request,glab mr diff Approve the merge request for the current branch,glab mr approve Merge the merge request associated with the current branch interactively,glab mr merge Edit a merge request interactively,glab mr update Edit the target branch of a merge request,glab mr update --target-branch branch_name Uninstall a TeX Live package,sudo tlmgr remove package Simulate uninstalling a package without making any changes,tlmgr remove --dry-run package Uninstall a package without its dependencies,sudo tlmgr remove --no-depends package Uninstall a package and back it up to a specific directory,sudo tlmgr remove --backupdir path/to/directory package "Uninstall all of TeX Live, asking for confirmation",sudo tlmgr remove --all Run a function,aws lambda invoke --function-name name path/to/response.json Run a function with an input payload in JSON format,aws lambda invoke --function-name name --payload json path/to/response.json List functions,aws lambda list-functions Display the configuration of a function,aws lambda get-function-configuration --function-name name List function aliases,aws lambda list-aliases --function-name name Display the reserved concurrency configuration for a function,aws lambda get-function-concurrency --function-name name List which AWS services can invoke the function,aws lambda get-policy --function-name name Start Dario's interactive CLI,vladimyr View documentation for the original command,tldr http Create a new Vue project interactively,vue create project_name Create a new project with web UI,vue ui "Create a new repository (if the repository name is not set, the default name will be the name of the current directory)",gh repo create name Clone a repository,gh repo clone owner/repository Fork and clone a repository,gh repo fork owner/repository --clone View a repository in the default web browser,gh repo view repository --web "List repositories owned by a specific user or organization (if the owner is not set, the default owner will be the currently logged in user)",gh repo list owner List only non-forks repositories and limit the number of repositories to list (default: 30),gh repo list owner --source -L limit List repositories with a specific primary coding language,gh repo list owner --language language_name Uninstall a given Node.js version,Remove-NodeVersion node_version Uninstall multiple Node.js versions,"Remove-NodeVersion node_version1 , node_version2 , ..." Uninstall all currently-installed versions of Node.js 20.x,"Get-NodeVersions -Filter "">=20.0.0 <21.0.0"" | Remove-NodeVersion" Uninstall all currently-installed versions of Node.js,Get-NodeVersions | Remove-NodeVersion Run `auto-cpufreq` in a specific mode,sudo auto-cpufreq --monitor|live|update|remove|stats|force=governor Print kernel name,uname Print system architecture and processor information,uname --machine --processor "Print kernel name, kernel release and kernel version",uname --kernel-name --kernel-release --kernel-version Print system hostname,uname --nodename Print all available system information,uname --all Calculate the BLAKE3 checksum for one or more files,b3sum path/to/file1 path/to/file2 ... Calculate and save the list of BLAKE3 checksums to a file,b3sum path/to/file1 path/to/file2 ... > path/to/file.b3 Calculate a BLAKE3 checksum from `stdin`,command | b3sum Read a file of BLAKE3 sums and filenames and verify all files have matching checksums,b3sum --check path/to/file.b3 Only show a message for missing files or when verification fails,b3sum --check --quiet path/to/file.b3 List interfaces with detailed info,ip address List interfaces with brief network layer info,ip -brief address List interfaces with brief link layer info,ip -brief link Display the routing table,ip route Show neighbors (ARP table),ip neighbour Make an interface up/down,ip link set interface up|down Add/Delete an IP address to an interface,ip addr add/del ip/mask dev interface Add a default route,ip route add default via ip dev interface Convert colors from one format to another. Here from RGB to HSL,pastel format hsl ff8000 Show and analyze colors on the terminal,"pastel color ""rgb(255,50,127)""" Pick a color from somewhere on the screen,pastel pick Generate a set of N visually distinct colors,pastel distinct 8 List all X11/CSS color names,pastel list Initialize a configuration file,envoy init host_name Run a task,envoy run task_name Run a task from a specific project,envoy run --path path/to/directory task_name Run a task and continue on failure,envoy run --continue task_name Dump a task as a Bash script for inspection,envoy run --pretend task_name Connect to the specified server via SSH,envoy ssh server_name Start a process with a name that can be used for later operations,pm2 start app.js --name application_name List processes,pm2 list Monitor all processes,pm2 monit Stop a process,pm2 stop application_name Restart a process,pm2 restart application_name Dump all processes for resurrecting them later,pm2 save Resurrect previously dumped processes,pm2 resurrect Run the project in the current directory,dotnet run Run a specific project,dotnet run --project path/to/file.csproj Run the project with specific arguments,dotnet run -- arg1=foo arg2=bar ... Run the project using a target framework moniker,dotnet run --framework net7.0 "Specify architecture and OS, available since .NET 6 (Don't use `--runtime` with these options)",dotnet run --arch x86|x64|arm|arm64 --os win|win7|osx|linux|ios|android List all installed packages,dpkg-query --list List installed packages matching a pattern,dpkg-query --list 'libc6*' List all files installed by a package,dpkg-query --listfiles libc6 Show information about a package,dpkg-query --status libc6 Search for packages that own files matching a pattern,dpkg-query --search /etc/ld.so.conf.d Dim the specified PPM image by dimfactor,ppmdim 0.6 path/to/input.ppm > path/to/output.ppm Run the protontricks GUI,protontricks --gui Run Winetricks for a specific game,protontricks appid winetricks_args Run a command within a game's installation directory,protontricks -c command appid [l]ist all installed games,protontricks -l [s]earch for a game's App ID by name,protontricks -s game_name Display help,protontricks --help Consume messages starting with the newest offset,kcat -C -t topic -b brokers Consume messages starting with the oldest offset and exit after the last message is received,kcat -C -t topic -b brokers -o beginning -e Consume messages as a Kafka consumer group,kcat -G group_id topic -b brokers Publish message by reading from `stdin`,echo message | kcat -P -t topic -b brokers Publish messages by reading from a file,kcat -P -t topic -b brokers path/to/file List metadata for all topics and brokers,kcat -L -b brokers List metadata for a specific topic,kcat -L -t topic -b brokers Get offset for a topic/partition for a specific point in time,kcat -Q -t topic:partition:unix_timestamp -b brokers Show ASCII aliases of a character,ascii a "Show ASCII aliases in short, script-friendly mode",ascii -t a Show ASCII aliases of multiple characters,ascii -s tldr Show ASCII table in decimal,ascii -d Show ASCII table in hexadecimal,ascii -x Show ASCII table in octal,ascii -o Show ASCII table in binary,ascii -b Show options summary and complete ASCII table,ascii Invoke configuration/reconfiguration tool,s3cmd --configure List Buckets/Folders/Objects,s3cmd ls s3://bucket|path/to/file Create Bucket/Folder,s3cmd mb s3://bucket Download a specific file from a bucket,s3cmd get s3://bucket_name/path/to/file path/to/local_file Upload a file to a bucket,s3cmd put local_file s3://bucket/file Move an object to a specific bucket location,s3cmd mv s3://src_bucket/src_object s3://dst_bucket/dst_object Delete a specific object,s3cmd rm s3://bucket/object Start `skim` on all files in the specified directory,find path/to/directory -type f | sk Start `skim` for running processes,ps aux | sk Start `skim` with a specified query,"sk --query ""query""" Select multiple files with `Shift + Tab` and write to a file,find path/to/directory -type f | sk --multi > path/to/file Copy the text to the clipboard,"wl-copy ""text""" Pipe the command (`ls`) output to the clipboard,ls | wl-copy Copy for only one paste and then clear it,"wl-copy --paste-once ""text""" Copy an image,wl-copy < path/to/image Clear the clipboard,wl-copy --clear Print which artifacts are published under a specific Maven group identifier,cs complete-dep group_id List published library versions under a specific Maven group identifier and an artifact one,cs complete-dep group_id:artifact_id Print which artifacts are pubblished under a given Maven groupId searching in the ivy2local,cs complete-dep group_id --repository ivy2local List published artifacts under a Maven group identifier searching in a specific repository and credentials,cs complete-dep group_id:artifact_id --repository repository_url --credentials user:password Download English subtitles for a video,subliminal download -l en video.ext Run a command in a container,systemd-nspawn --directory path/to/container_root Run a full Linux-based OS in a container,systemd-nspawn --boot --directory path/to/container_root Run the specified command as PID 2 in the container (as opposed to PID 1) using a stub init process,systemd-nspawn --directory path/to/container_root --as-pid2 Specify the machine name and hostname,systemd-nspawn --machine=container_name --hostname=container_host --directory path/to/container_root Start up a project,ddev start Configure a project's type and docroot,ddev config [f]ollow the log trail,ddev logs -f Run composer within the container,ddev composer Install a specific Node.js version,ddev nvm install version Export a database,ddev export-db --file=/tmp/db.sql.gz Run a specific command within a container,ddev exec echo 1 Run a command using a given Rust toolchain (see `rustup help toolchain` for more information),rustup run toolchain command Increase the contrast of a PNM image using histogram equalization,pnmhisteq path/to/input.pnm > path/to/output.pnm Only modify grey pixels,pnmhisteq -grey path/to/input.pnm > path/to/output.pnm Do not include black or white pixels in the histogram equalization,pnmhisteq -noblack|white path/to/input.pnm > path/to/output.pnm Create and run a Dev Container,devcontainer up Apply a Dev Container Template to a workspace,devcontainer templates apply --template-id template_id --template-args template_args --workspace-folder path/to/workspace Execute a command on a running Dev Container in the current workspace,devcontainer exec command Build a Dev Container image from `devcontainer.json`,devcontainer build path/to/workspace Open a Dev Container in VS Code (the path is optional),devcontainer open path/to/workspace Read and print the configuration of a Dev Container from `devcontainer.json`,devcontainer read-configuration Create a new Laravel Zero application,laravel-zero new name Update the installer to the latest version,laravel-zero self-update List the available installer commands,laravel-zero list Execute the specified file and watch a specific file for changes,nodemon path/to/file.js Manually restart nodemon (note nodemon must already be active for this to work),rs Ignore specific files,nodemon --ignore path/to/file_or_directory Pass arguments to the node application,nodemon path/to/file.js arguments Pass arguments to node itself if they're not nodemon arguments already (e.g. `--inspect`),nodemon arguments path/to/file.js Run an arbitrary non-node script,"nodemon --exec ""command_to_run_script options"" path/to/script" Run a Python script,"nodemon --exec ""python options"" path/to/file.py" Start Pinta,pinta Open specific files,pinta path/to/image1 path/to/image2 ... Send feedback to the Azure CLI Team,az feedback Determine changes between different versions of a LaTeX file (the resulting LaTeX file can be compiled to show differences underlined),latexdiff old.tex new.tex > diff.tex Determine changes between different versions of a LaTeX file by highlighting differences in boldface,latexdiff --type=BOLD old.tex new.tex > diff.tex "Determine changes between different versions of a LaTeX file, and display minor changes in equations with both added and deleted graphics",latexdiff --math-markup=fine --graphics-markup=both old.tex new.tex > diff.tex Convert a PPM image to an X11 puzzle file,ppmtopuzz path/to/file.ppm > path/to/file.puzz Display information on all available GPUs and processes using them,nvidia-smi Display more detailed GPU information,nvidia-smi --query Monitor overall GPU usage with 1-second update interval,nvidia-smi dmon Reconfigure one or more packages,dpkg-reconfigure package1 package2 ... "Display the names, values and descriptions of all PlatformIO settings",pio settings get "Display the name, value and description of a specific PlatformIO setting",pio settings get setting Set a specific setting value,pio settings set setting value Reset the values of all modified settings to their factory defaults,pio settings reset Set device to monitor mode (interface must be down first. See also `ip link`),sudo iw dev wlp set type monitor Set device to managed mode (interface must be down first),sudo iw dev wlp set type managed Set device WiFi channel (device must first be in monitor mode with the interface up),sudo iw dev wlp set channel channel_number Set device WiFi frequency in Mhz (device must first be in monitor mode with the interface up),sudo iw dev wlp set freq freq_in_mhz Show all known station info,iw dev wlp station dump Create a virtual interface in monitor mode with a specific MAC address,"sudo iw dev wlp interface add ""vif_name"" type monitor addr 12:34:56:aa:bb:cc" Delete virtual interface,"sudo iw dev ""vif_name"" del" Print the fully qualified package specification for the current project,cargo pkgid Print the fully qualified package specification for the specified package,cargo pkgid partial_pkgspec Perform reconnaissance on target host(s) (detailed scan results will be dumped in `./results`),"sudo autorecon host_or_ip1,host_or_ip2,..." Perform reconnaissance on [t]arget(s) from a file,sudo autorecon --target-file path/to/file [o]utput results to a different directory,"sudo autorecon --output path/to/results host_or_ip1,host_or_ip2,..." "Limit scanning to specific [p]orts and protocols (`T` for TCP, `U` for UDP, `B` for both)","sudo autorecon --ports T:21-25,80,443,U:53,B:123 host_or_ip1,host_or_ip2,..." "Compose English phrase into C declaration, and create [c]ompilable output (include `;` and `{}`)",cdecl -c phrase Explain C declaration in English,cdecl explain C_declaration Cast a variable to another type,cdecl cast variable_name to type Run in [i]nteractive mode,cdecl -i Convert a graph from `gml` to `gv` format,graphml2gv -o output.gv input.gml Convert a graph using `stdin` and `stdout`,cat input.gml | graphml2gv > output.gv Display help,graphml2gv -? Convert a ESC/P2 printer file to a PBM image,escp2topbm path/to/image.escp2 > path/to/output.pbm Log in to a registry (non-persistent on Linux; persistent on Windows/macOS),podman login registry.example.org Log in to a registry persistently on Linux,podman login --authfile $HOME/.config/containers/auth.json registry.example.org Log in to an insecure (HTTP) registry,podman login --tls-verify false registry.example.org "Take an image of a device, creating a log file",sudo ddrescue /dev/sdb path/to/image.dd path/to/log.txt "Clone Disk A to Disk B, creating a log file",sudo ddrescue --force --no-scrape /dev/sdX /dev/sdY path/to/log.txt "View documentation for a builtin [f]unction, a [v]ariable or an [a]PI",perldoc -f|v|a name Search in the question headings of Perl FAQ,perldoc -q regex "Send output directly to `stdout` (by default, it is send to a pager)",perldoc -T page|module|program|URL Specify the language code of the desired translation,perldoc -L language_code page|module|program|URL Create and switch to a new feature branch,git feature feature_branch Merge a feature branch into the current branch creating a merge commit,git feature finish feature_branch Merge a feature branch into the current branch squashing the changes into one commit,git feature finish --squash feature_branch Send changes from a specific feature branch to its remote counterpart,git feature feature_branch --remote remote_name "Show the default layout (CPU, memory, temperatures, disk, network, and processes)",btm "Enable basic mode, removing charts and condensing data (similar to `top`)",btm --basic Use big dots instead of small ones in charts,btm --dot_marker Show also battery charge and health status,btm --battery Refresh every 250 milliseconds and show the last 30 seconds in the charts,btm --rate 250 --default_time_value 30000 Convert an MTV or PRT ray tracer file to a PPM image,mtvtoppm path/to/file.mtv > path/to/output.ppm Check the [s]tatus of a parallel port device,tunelp --status /dev/lp0 [r]eset a given parallel port,tunelp --reset /dev/lp0 "Use a given [i]RQ for a device, each one representing an interrupt line",tunelp -i 5 /dev/lp0 Try a given number of times to output a [c]haracter to the printer before sleeping for a given [t]ime,tunelp --chars times --time time_in_centiseconds /dev/lp0 Enable or disable [a]borting on error (disabled by default),tunelp --abort on|off View documentation for the original command,tldr aa-status Find all instances of a command,where command Post a file to Slack,slackcat --channel channel_name path/to/file Post a file to Slack with a custom filename,slackcat --channel channel_name --filename=filename path/to/file Pipe command output to Slack as a text snippet,command | slackcat --channel channel_name --filename=snippet_name Stream command output to Slack continuously,command | slackcat --channel channel_name --stream Convert a specific `.adoc` file to HTML (the default output format),asciidoctor path/to/file.adoc Convert a specific `.adoc` file to HTML and link a CSS stylesheet,asciidoctor -a stylesheet path/to/stylesheet.css path/to/file.adoc "Convert a specific `.adoc` file to embeddable HTML, removing everything except the body",asciidoctor --embedded path/to/file.adoc Convert a specific `.adoc` file to a PDF using the `asciidoctor-pdf` library,asciidoctor --backend pdf --require asciidoctor-pdf path/to/file.adoc Connect to the local server,redis-cli Connect to a remote server on the default port (6379),redis-cli -h host Connect to a remote server specifying a port number,redis-cli -h host -p port Connect to a remote server specifying a URI,redis-cli -u uri Specify a password,redis-cli -a password Execute Redis command,redis-cli redis_command Connect to the local cluster,redis-cli -c Specify the color theme (defaults to `theme.ron`),gitui --theme theme Store logging output into a cache directory,gitui --logging Use notify-based file system watcher instead of tick-based update,gitui --watcher Generate a bug report,gitui --bugreport Use a specific Git directory,gitui --directory path/to/directory Use a specific working directory,gitui --workdir path/to/directory Display help,gitui --help Display version,gitui --version Start a REPL (interactive shell),R Start R in vanilla mode (i.e. a blank session that doesn't save the workspace at the end),R --vanilla Execute a file,R -f path/to/file.R Execute an R expression and then exit,R -e expr Run R with a debugger,R -d debugger Check R packages from package sources,R CMD check path/to/package_source Display version,R --version Generate a key interactively,ssh-keygen Generate an ed25519 key with 32 key derivation function rounds and save the key to a specific file,ssh-keygen -t ed25519 -a 32 -f ~/.ssh/filename Generate an RSA 4096-bit key with email as a comment,"ssh-keygen -t rsa -b 4096 -C ""comment|email""" Remove the keys of a host from the known_hosts file (useful when a known host has a new key),ssh-keygen -R remote_host Retrieve the fingerprint of a key in MD5 Hex,ssh-keygen -l -E md5 -f ~/.ssh/filename Change the password of a key,ssh-keygen -p -f ~/.ssh/filename "Change the type of the key format (for example from OPENSSH format to PEM), the file will be rewritten in-place","ssh-keygen -p -N """" -m PEM -f ~/.ssh/OpenSSH_private_key" Retrieve public key from secret key,ssh-keygen -y -f ~/.ssh/OpenSSH_private_key Enable only the primary monitor,mons -o Enable only the secondary monitor,mons -s "Duplicate the primary monitor onto the secondary monitor, using the resolution of the primary monitor",mons -d "Mirror the primary monitor onto the secondary monitor, using the resolution of the secondary monitor",mons -m Connect to an InfluxDB running on localhost with no credentials,influx Connect with a specific username (will prompt for a password),"influx -username username -password """"" Connect to a specific host,influx -host hostname Use a specific database,influx -database database_name Execute a given command,"influx -execute ""influxql_command""" Return output in a specific format,"influx -execute ""influxql_command"" -format json|csv|column" Show total blame count,git guilt Calculate the change in blame between two revisions,git guilt first_revision last_revision Show author emails instead of names,git guilt --email Ignore whitespace only changes when attributing blame,git guilt --ignore-whitespace Find blame delta over the last three weeks,"git guilt 'git log --until=""3 weeks ago"" --format=""%H"" -n 1'" Find blame delta over the last three weeks (git 1.8.5+),git guilt @{3.weeks.ago} "Convert a PPM image to an ASCII image, combining an area of 1x2 pixels into a character",ppmtoascii path/to/input.ppm > path/to/output.txt "Convert a PPM image to an ASCII image, combining an area of 2x4 pixels into a character",ppmtoascii -2x4 path/to/input.ppm > path/to/output.txt "[R]econnect to a running server, and turn on logging 3 levels of [v]erbosity",asterisk -r -vvv "[R]econnect to a running server, run a single command, and return","asterisk -r -x ""command""" Show chan_SIP clients (phones),"asterisk -r -x ""sip show peers""" Show active calls and channels,"asterisk -r -x ""core show channels""" Show voicemail mailboxes,"asterisk -r -x ""voicemail show users""" Terminate a channel,"asterisk -r -x ""hangup request channel_ID""" Reload chan_SIP configuration,"asterisk -r -x ""sip reload""" Report what would be removed by Git prune without removing it,git prune --dry-run Prune unreachable objects and display what has been pruned to `stdout`,git prune --verbose Prune unreachable objects while showing progress,git prune --progress Search for valid domain credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc smb 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Search for valid credentials for local accounts instead of domain accounts,nxc smb 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt --local-auth Enumerate SMB shares and the specified users' access rights to them on the target hosts,nxc smb 192.168.178.0/24 -u username -p password --shares "Enumerate network interfaces on the target hosts, performing authentication via pass-the-hash",nxc smb 192.168.178.30-45 -u username -H NTLM_hash --interfaces Scan the target hosts for common vulnerabilities,nxc smb path/to/target_list.txt -u '' -p '' -M zerologon -M petitpotam Attempt to execute a command on the target hosts,nxc smb 192.168.178.2 -u username -p password -x command "Create a new repository (if the repository name is not set, the default name will be the name of the current directory)",glab repo create name Clone a repository,glab repo clone owner/repository Fork and clone a repository,glab repo fork owner/repository --clone View a repository in the default web browser,glab repo view owner/repository --web Search some repositories in the GitLab instance,glab repo search -s search_string Build a specific C project in the current directory,verilator --binary --build-jobs 0 -Wall path/to/source.v Create a C++ executable in a specific folder,verilator --cc --exe --build --build-jobs 0 -Wall path/to/source.cpp path/to/output.v Perform linting over a code in the current directory,verilator --lint-only -Wall "Create XML output about the design (files, modules, instance hierarchy, logic and data types) to feed into other tools",verilator --xml-output -Wall path/to/output.xml "Print current time, uptime, number of logged-in users and other information",uptime Show only the amount of time the system has been booted for,uptime --pretty Print the date and time the system booted up at,uptime --since Display version,uptime --version List all subkeys of a given option key,nixos-option option_key List current boot kernel modules,nixos-option boot.kernelModules List authorized keys for a specific user,nixos-option users.users.username.openssh.authorizedKeys.keyFiles|keys List all remote builders,nixos-option nix.buildMachines List all subkeys of a given key on another NixOS configuration,NIXOS_CONFIG=path_to_configuration.nix nixos-option option_key Show recursively all values of a user,nixos-option -r users.users.user Print a file to `stdout` (status and progress messages are sent to `stderr`),ippeveps path/to/file Print a file from `stdin` to `stdout`,wget -O - https://examplewebsite.com/file | ippeveps Log in to the OpenShift Container Platform server,oc login Create a new project,oc new-project project_name Switch to an existing project,oc project project_name Add a new application to a project,oc new-app repo_url --name application Open a remote shell session to a container,oc rsh pod_name List pods in a project,oc get pods Log out from the current session,oc logout Display suggestions for a given file,hlint path/to/file options Check all Haskell files and generate a report,hlint path/to/directory --report Automatically apply most suggestions,hlint path/to/file --refactor Display additional options,hlint path/to/file --refactor-options Generate a settings file ignoring all outstanding hints,hlint path/to/file --default > .hlint.yaml List all routes,bgpgrep master6.mrt "List routes received from a specific peer, determined by the peer's AS number",bgpgrep master4.mrt -peer 64498 "List routes received from a specific peer, determined by the peer's IP address",bgpgrep master4.mrt.bz2 -peer 2001:db8:dead:cafe:acd::19e List routes which have certain ASNs in their AS path,bgpgrep master6.mrt.bz2 -aspath '64498 64510' List routes that lead to a specific address,bgpgrep master6.mrt.bz2 -supernet '2001:db8:dead:cafe:aef::5' List routes that have communities from a specific AS,bgpgrep master4.mrt -communities \( '64497:*' \) Mount an encrypted filesystem. The initialization wizard will be started on the first execution,cryfs path/to/cipher_dir path/to/mount_point Unmount an encrypted filesystem,cryfs-unmount path/to/mount_point Automatically unmount after ten minutes of inactivity,cryfs --unmount-idle 10 path/to/cipher_dir path/to/mount_point List supported ciphers,cryfs --show-ciphers View documentation for the original command,tldr pio project Capture a screenshot and save it to the current directory with the current date as the filename,scrot Capture a screenshot and save it as `capture.png`,scrot capture.png Capture a screenshot interactively,scrot --select "Capture a screenshot interactively without exiting on keyboard input, press `ESC` to exit",scrot --select --ignorekeyboard Capture a screenshot interactively delimiting the region with a colored line,scrot --select --line color=x11_color|rgb_color Capture a screenshot from the currently focused window,scrot --focused Display a countdown of 10 seconds before taking a screenshot,scrot --count --delay 10 Produce a PPM file of the specified pattern with the specified dimensions,ppmpat -gingham2|gingham3|madras|tartan|poles|... width height > path/to/file.ppm Produce a PPM file of a camo pattern using the specified colors,"ppmpat -camo -color color1,color2,... width height > path/to/file.ppm" Create a new environment,virtualenv path/to/venv Customize the prompt prefix,virtualenv --prompt=prompt_prefix path/to/venv Use a different version of Python with virtualenv,virtualenv --python=path/to/pythonbin path/to/venv Start (select) the environment,source path/to/venv/bin/activate Stop the environment,deactivate Open the current directory in Sublime Text,subl . Open a file or directory in Sublime Text,subl path/to/file_or_directory Open a file and jump to a specific line number,subl path/to/file:line_number Open a file or directory in the currently open window,subl -a path/to/file Open a file or directory in a new window,subl -n path/to/file Run checks over the code in the current directory,cargo clippy Require that `Cargo.lock` is up to date,cargo clippy --locked Run checks on all packages in the workspace,cargo clippy --workspace Run checks for a package,cargo clippy --package package "Run checks for a lint group (see )",cargo clippy -- --warn clippy::lint_group Treat warnings as errors,cargo clippy -- --deny warnings Run checks and ignore warnings,cargo clippy -- --allow warnings Apply Clippy suggestions automatically,cargo clippy --fix "Tile images into a grid, automatically resizing images larger than the grid cell size",magick montage path/to/image1.jpg path/to/image2.jpg ... path/to/montage.jpg "Tile images into a grid, automatically calculating the grid cell size from the largest image",magick montage path/to/image1.jpg path/to/image2.jpg ... -geometry +0+0 path/to/montage.jpg Specify the grid cell size and resize images to fit it before tiling,magick montage path/to/image1.jpg path/to/image2.jpg ... -geometry 640x480+0+0 path/to/montage.jpg "Limit the number of rows and columns in the grid, causing input images to overflow into multiple output montages",magick montage path/to/image1.jpg path/to/image2.jpg ... -geometry +0+0 -tile 2x3 montage_%d.jpg Resize and crop images to fill their grid cells before tiling,magick montage path/to/image1.jpg path/to/image2.jpg ... -geometry +0+0 -resize 640x480^ -gravity center -crop 640x480+0+0 path/to/montage.jpg Install a package,pip install package Install a specific version of a package,pip install package==version Install packages listed in a file,pip install -r path/to/requirements.txt Install packages from an URL or local file archive (.tar.gz | .whl),pip install --find-links url|path/to/file Install the local package in the current directory in develop (editable) mode,pip install --editable . Overlay two images such with the overlay blocking parts of the underlay,pamcomp path/to/overlay.pam path/to/underlay.pam > path/to/output.pam Set the horizontal alignment of the overlay,pamcomp -align left|center|right|beyondleft|beyondright -xoff x_offset path/to/overlay.pam path/to/underlay.pam > path/to/output.pam Set the vertical alignment of the overlay,pamcomp -valign top|middle|bottom|above|below -yoff y_offset path/to/overlay.pam path/to/underlay.pam > path/to/output.pam Set the opacity of the overlay,pamcomp -opacity 0.7 path/to/overlay.pam path/to/underlay.pam > path/to/output.pam Start `nmon`,nmon "Save records to file (""-s 300 -c 288"" by default)",nmon -f "Save records to file with a total of 240 measurements, by taking 30 seconds between each measurement",nmon -f -s 30 -c 240 Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc ftp 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Continue searching for valid credentials even after valid credentials have been found,nxc ftp 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt --continue-on-success Perform directory listings on each FTP server the supplied credentials are valid on,nxc ftp 192.168.178.0/24 -u username -p password --ls Download the specified file from the target server,nxc ftp 192.168.178.2 -u username -p password --get path/to/file Upload the specified file to the target server at the specified location,nxc ftp 192.168.178.2 -u username -p password --put path/to/local_file path/to/remote_location Rebuild the filesystem metadata tree (very slow),sudo btrfs rescue chunk-recover path/to/partition Fix device size alignment related problems (e.g. unable to mount the filesystem with super total bytes mismatch),sudo btrfs rescue fix-device-size path/to/partition Recover a corrupted superblock from correct copies (recover the root of filesystem tree),sudo btrfs rescue super-recover path/to/partition Recover from an interrupted transactions (fixes log replay problems),sudo btrfs rescue zero-log path/to/partition Create a `/dev/btrfs-control` control device when `mknod` is not installed,sudo btrfs rescue create-control-device Calculate and save the UUID for the current working directory,abrt-action-analyze-c Calculate and save the UUID for a specific directory,abrt-action-analyze-c -d path/to/directory Calculate and save the UUID verbosely,abrt-action-analyze-c -v Generate a build recipe in the current directory with `CMakeLists.txt` from a project directory,cmake path/to/project_directory "Generate a build recipe, with build type set to `Release` with CMake variable",cmake path/to/project_directory -D CMAKE_BUILD_TYPE=Release Generate a build recipe using `generator_name` as the underlying build system,cmake -G generator_name path/to/project_directory Use a generated recipe in a given directory to build artifacts,cmake --build path/to/build_directory Install the build artifacts into `/usr/local/` and strip debugging symbols,cmake --install path/to/build_directory --strip Install the build artifacts using the custom prefix for paths,cmake --install path/to/build_directory --strip --prefix path/to/directory Run a custom build target,cmake --build path/to/build_directory --target target_name "Display help, obtain a list of generators",cmake --help Launch the GUI,anki Use a specific [p]rofile,anki -p profile_name Use a specific [l]anguage,anki -l language Use a non-default directory (`~/Anki` for default),anki -b path/to/directory Replace the colors in an image with those in the specified color palette,pnmremap -mapfile path/to/palette_file.ppm path/to/input.pnm > path/to/output.pnm Use Floyd-Steinberg dithering for representing colors missing in the color palette,pnmremap -mapfile path/to/palette_file.ppm -floyd path/to/input.pnm > path/to/output.pnm Use the first color in the palette for representing colors missing in the color palette,pnmremap -mapfile path/to/palette_file.ppm -firstisdefault path/to/input.pnm > path/to/output.pnm Use the specified color for representing colors missing in the color palette,pnmremap -mapfile path/to/palette_file.ppm -missingcolor color path/to/input.pnm > path/to/output.pnm Compare package files in tar content [l]ist different mode (default),pkgctl diff --list path/to/file|pkgname Compare package files in [d]iffoscope different mode,pkgctl diff --diffoscope path/to/file|pkgname Compare package files in `.PKGINFO` different mode,pkgctl diff --pkginfo path/to/file|pkgname Compare package files in `.BUILDINFO` different mode,pkgctl diff --buildinfo path/to/file|pkgname Install a package,pkcon install package Remove a package,pkcon remove package Refresh the package cache,pkcon refresh Update packages,pkcon update Search for a specific package,pkcon search package List all available packages,pkcon get-packages Deploy a dockerized application to Kubernetes,kompose up -f docker-compose.yml Delete instantiated services/deployments from Kubernetes,kompose down -f docker-compose.yml Convert a docker-compose file into Kubernetes resources file,kompose convert -f docker-compose.yml Setup using `npm` 6.x,npm create vite@latest my-react-app --template react-ts "Setup using `npm` 7+, extra double-dash is needed",npm create vite@latest my-react-app -- --template react-ts Setup using `yarn`,yarn create vite my-react-app --template react-ts Setup using `pnpm`,pnpm create vite my-react-app --template react-ts Scan for possible queries using interactive mode,sg scan --interactive Rewrite code in the current directory using patterns,sg run --pattern 'foo' --rewrite 'bar' --lang python Visualize possible changes without applying them,sg run --pattern 'useState($A)' --rewrite 'useState($A)' --lang typescript "Output results as JSON, extract information using `jq` and interactively view it using `jless`",sg run --pattern 'Some($A)' --rewrite 'None' --json | jq '.[].replacement' | jless Migrate project dependencies to the AndroidX format,jetifier Migrate project dependencies from the AndroidX format,jetifier reverse List AKS clusters,az aks list --resource-group resource_group Create a new AKS cluster,az aks create --resource-group resource_group --name name --node-count count --node-vm-size size Delete an AKS cluster,az aks delete --resource-group resource_group --name name Get the access credentials for an AKS cluster,az aks get-credentials --resource-group resource_group --name name Get the upgrade versions available for an AKS cluster,az aks get-upgrades --resource-group resource_group --name name View documentation for the original command,tldr chromium Start the Deluge daemon,deluged Start the Deluge daemon on a specific port,deluged -p port Start the Deluge daemon using a specific configuration file,deluged -c path/to/configuration_file Start the Deluge daemon and output the log to a file,deluged -l path/to/log_file Monitor Clockwork logs for the current project,clockwork-cli Monitor Clockwork logs for a specific project,clockwork-cli path/to/directory Monitor Clockwork logs for multiple projects,clockwork-cli path/to/directory1 path/to/directory2 ... List all nvme devices,sudo nvme list Show device information,sudo nvme smart-log device Start `ntpq` in interactive mode,ntpq --interactive Print a list of NTP peers,ntpq --peers Print a list of NTP peers without resolving hostnames from IP addresses,ntpq --numeric --peers Use `ntpq` in debugging mode,ntpq --debug-level Print NTP system variables values,ntpq --command=rv List all Samba users (use verbose flag to show their settings),sudo pdbedit --list --verbose Add an existing Unix user to Samba (will prompt for password),sudo pdbedit --user username --create Remove a Samba user,sudo pdbedit --user username --delete Reset a Samba user's failed password counter,sudo pdbedit --user username --bad-password-count-reset Start in GUI mode,dirbuster -u http://example.com Start in headless (no GUI) mode,dirbuster -H -u http://example.com Set the file extension list,"dirbuster -e txt,html" Enable verbose output,dirbuster -v Set the report location,dirbuster -r path/to/report.txt Launch a GitWeb server for the current Git repository,git instaweb --start Listen only on localhost,git instaweb --start --local Listen on a specific port,git instaweb --start --port 1234 Use a specified HTTP daemon,git instaweb --start --httpd lighttpd|apache2|mongoose|plackup|webrick Also auto-launch a web browser,git instaweb --start --browser Stop the currently running GitWeb server,git instaweb --stop Restart the currently running GitWeb server,git instaweb --restart Connect to a TFTP server specifying its IP address and port,tftp server_ip port Connect to a TFTP server and execute a TFTP [c]ommand,tftp server_ip -c command Connect to a TFTP server using IPv6 and force originating port to be in [R]ange,tftp server_ip -6 -R port:port Set the transfer mode to binary or ASCIi through the tftp client,mode binary|ascii Download file from a server through the tftp client,get file Upload file to a server through the tftp client,put file Exit the tftp client,quit Scan the current Kubernetes cluster,popeye Scan a specific namespace,popeye -n namespace Scan specific Kubernetes context,popeye --context=context Use a spinach configuration file for scanning,popeye -f spinach.yaml Display MATE version,mate-about --version Play the specified mp3 files,mpg123 path/to/file1.mp3 path/to/file2.mp3 ... Play the mp3 from `stdin`,cat file.mp3 | mpg123 - Jump forward to the next song,f Jump back to the beginning for the song,b Stop or replay the current file,s Fast forward,. Quit,q Initialize a new Zapier integration,zapier init path/to/directory Initialize a new Zapier integration with a specific template,zapier init path/to/directory -t|--template basic-auth|callback|custom-auth|digest-auth|dynamic-dropdown|files|minimal|oauth1-trello|oauth2|search-or-create|session-auth|typescript Show extra debugging output,zapier init -d|--debug Route traffic via Tor,torify command Toggle Tor in shell,torify on|off Spawn a Tor-enabled shell,torify --shell Check for a Tor-enabled shell,torify show Specify Tor configuration file,torify -c config-file command Use a specific Tor SOCKS proxy,torify -P proxy command Redirect output to a file,torify command > path/to/output Remove wireless headers from an open network capture file and use the access point's MAC address to filter,airdecap-ng -b ap_mac path/to/capture.cap Decrypt a [w]EP encrypted capture file using the key in hex format,airdecap-ng -w hex_key path/to/capture.cap Decrypt a WPA/WPA2 encrypted capture file using the access point's [e]ssid and [p]assword,airdecap-ng -e essid -p password path/to/capture.cap Decrypt a WPA/WPA2 encrypted capture file preserving the headers using the access point's [e]ssid and [p]assword,airdecap-ng -l -e essid -p password path/to/capture.cap Decrypt a WPA/WPA2 encrypted capture file using the access point's [e]ssid and [p]assword and use its MAC address to filter,airdecap-ng -b ap_mac -e essid -p password path/to/capture.cap Search for crates,cargo search search_string Install a binary crate,cargo install crate_name List installed binary crates,cargo install --list Create a new binary or library Rust project in the specified directory (or the current working directory by default),cargo init --bin|lib path/to/directory Add a dependency to `Cargo.toml` in the current directory,cargo add dependency Build the Rust project in the current directory using the release profile,cargo build --release Build the Rust project in the current directory using the nightly compiler (requires `rustup`),cargo +nightly build Build using a specific number of threads (default is the number of logical CPUs),cargo build --jobs number_of_threads Release all address leases,sudo dhcpcd --release Request the DHCP server for new leases,sudo dhcpcd --rebind Run warpd in normal mode,warpd --normal Run warpd in hint mode,warpd --hint Move cursor left,h Move cursor down,j Move cursor up,k Move cursor right,l Emulate left click,m Display a list of available packages,cradle package list Search for a package,cradle package search package Install a package from Packagist,cradle package install package Install a specific version of a package,cradle package install package version Update a package,cradle package update package Update a package to a specific version,cradle package update package version Remove a specific package,cradle package remove package View current configuration,sudo nft list ruleset "Add a new table with family ""inet"" and table ""filter""",sudo nft add table inet filter Add a new chain to accept all inbound traffic,sudo nft add chain inet filter input \{ type filter hook input priority 0 \; policy accept \; \} Add a new rule to accept several TCP ports,"sudo nft add rule inet filter input tcp dport \{ telnet, ssh, http, https \} accept" Add a NAT rule to translate all traffic from the `192.168.0.0/24` subnet to the host's public IP,sudo nft add rule nat postrouting ip saddr 192.168.0.0/24 masquerade Show rule handles,sudo nft --handle --numeric list chain family table chain Delete a rule,sudo nft delete rule inet filter input handle 3 Save current configuration,sudo nft list ruleset > /etc/nftables.conf Show all stats for all columns,csvstat data.csv Show all stats for columns 2 and 4,"csvstat -c 2,4 data.csv" Show sums for all columns,csvstat --sum data.csv Show the max value length for column 3,csvstat -c 3 --len data.csv "Show the number of unique values in the ""name"" column",csvstat -c name --unique data.csv Log in to the Perforce service,p4 login -a Create a client,p4 client Copy files from depot into the client workspace,p4 sync Create or edit changelist description,p4 change Open a file to edit,p4 edit -c changelist_number path/to/file Open a new file to add it to the depot,p4 add Display list of files modified by changelist,p4 describe -c changelist_number Submit a changelist to the depot,p4 submit -c changelist_number Extract the second column from a CSV file,csvtool --column 2 path/to/file.csv Extract the second and fourth columns from a CSV file,"csvtool --column 2,4 path/to/file.csv" Extract lines from a CSV file where the second column exactly matches 'Foo',csvtool --column 2 --search '^Foo$' path/to/file.csv Extract lines from a CSV file where the second column starts with 'Bar',csvtool --column 2 --search '^Bar' path/to/file.csv Find lines in a CSV file where the second column ends with 'Baz' and then extract the third and sixth columns,"csvtool --column 2 --search 'Baz$' path/to/file.csv | csvtool --no-header --column 3,6" Start a REPL (interactive shell),julia Execute a Julia program and exit,julia program.jl Execute a Julia program that takes arguments,julia program.jl arguments Evaluate a string containing Julia code,julia -e 'julia_code' "Evaluate a string of Julia code, passing arguments to it",julia -e 'for x in ARGS; println(x); end' arguments Evaluate an expression and print the result,julia -E '(1 - cos(pi/4))/2' "Start Julia in multithreaded mode, using N threads",julia -t N Start a caffeine server,caffeine Display help,caffeine --help Display version,caffeine --version Overwrite a file,shred path/to/file Overwrite a file and show progress on the screen,shred --verbose path/to/file "Overwrite a file, leaving [z]eros instead of random data",shred --zero path/to/file Overwrite a file a specific [n]umber of times,shred --iterations 25 path/to/file Overwrite a file and remove it,shred --remove path/to/file "Overwrite a file 100 times, add a final overwrite with [z]eros, remove the file after overwriting it and show [v]erbose progress on the screen",shred -vzun 100 path/to/file Output conversion,msgunfmt path/to/file.mo Convert a `.mo` file to a `.po` file,msgunfmt path/to/file.mo > path/to/file.po View documentation for the original command,tldr at Set the default host triple,rustup set default-host host_triple "Set the default profile (`minimal` includes only `rustc`, `rust-std` and `cargo`, whereas `default` adds `rust-docs`, `rustfmt` and `clippy`)",rustup set profile minimal|default Set whether `rustup` should update itself when running `rustup update`,rustup set auto-self-update enable|disable|check-only Merge HDF5 files produced on each allocated node for the specified job or step,sh5util --jobs=job_id|job_id.step_id Extract one or more data series from a merged job file,sh5util --jobs=job_id|job_id.step_id --extract -i path/to/file.h5 --series=Energy|Filesystem|Network|Task Extract one data item from all nodes in a merged job file,sh5util --jobs=job_id|job_id.step_id --item-extract --series=Energy|Filesystem|Network|Task --data=data_item Get the current screen brightness as a percentage,xbacklight Set the screen brightness to 40%,xbacklight -set 40 Increase current brightness by 25%,xbacklight -inc 25 Decrease current brightness by 75%,xbacklight -dec 75 "Increase backlight to 100%, over 60 seconds (value given in ms), using 60 steps",xbacklight -set 100 -time 60000 -steps 60 "Connect to the server , open the root collection",cadaver http://dav.example.com/ Connect to a server using a specific port and open the collection `/foo/bar/`,cadaver http://dav.example.com:8022/foo/bar/ Connect to a server using SSL,cadaver https://davs.example.com/ Start and manage all services in a directory as the current user,runsvdir path/to/services Start and manage all services in a directory as root,sudo runsvdir path/to/services Start services in separate sessions,runsvdir -P path/to/services Connect to a Vault server and initialize a new encrypted data store,vault init "Unseal (unlock) the vault, by providing one of the key shares needed to access the encrypted data store",vault unseal key-share-x "Authenticate the CLI client against the Vault server, using an authentication token",vault auth authentication_token "Store a new secret in the vault, using the generic back-end called ""secret""",vault write secret/hello value=world "Read a value from the vault, using the generic back-end called ""secret""",vault read secret/hello Read a specific field from the value,vault read -field=field_name secret/hello "Seal (lock) the Vault server, by removing the encryption key of the data store from memory",vault seal Initialize a workspace in the current directory,avo init Log into the Avo platform,avo login Switch to an existing Avo branch,avo checkout branch_name Pull analytics wrappers for the current path,avo pull Display the status of the Avo implementation,avo status Resolve Git conflicts in Avo files,avo conflict Open the current Avo workspace in the default web browser,avo edit Display help for a subcommand,avo subcommand --help Intersect file [a] and file(s) [b] regarding the sequences' [s]trand and save the result to a specific file,bedtools intersect -a path/to/file_A -b path/to/file_B1 path/to/file_B2 ... -s > path/to/output_file "Intersect two files with a [l]eft [o]uter [j]oin, i.e. report each feature from `file1` and NULL if no overlap with `file2`",bedtools intersect -a path/to/file1 -b path/to/file2 -loj > path/to/output_file Using more efficient algorithm to intersect two pre-sorted files,bedtools intersect -a path/to/file1 -b path/to/file2 -sorted > path/to/output_file [g]roup a file based on the first three and the fifth [c]olumn and apply the sum [o]peration on the sixth column,"bedtools groupby -i path/to/file -c 1-3,5 -g 6 -o sum" Convert bam-formatted [i]nput file to a bed-formatted one,bedtools bamtobed -i path/to/file.bam > path/to/file.bed Find for all features in `file1.bed` the closest one in `file2.bed` and write their [d]istance in an extra column (input files must be sorted),bedtools closest -a path/to/file1.bed -b path/to/file2.bed -d View documentation for the current command,tldr pnmquantall Log in as a user,login user Log in as user without authentication if user is preauthenticated,login -f user Log in as user and preserve environment,login -p user Log in as a user on a remote host,login -h host user Submit a basic interactive job,srun --pty /bin/bash Submit an interactive job with different attributes,srun --ntasks-per-node=num_cores --mem-per-cpu=memory_MB --pty /bin/bash Connect to a worker node with a job running,srun --jobid=job_id --pty /bin/bash Create a local Kubernetes cluster,kind create cluster --name cluster_name Delete one or more clusters,kind delete clusters cluster_name "Get details about clusters, nodes, or the kubeconfig",kind get clusters|nodes|kubeconfig Export the kubeconfig or the logs,kind export kubeconfig|logs Display the current month's calendar,ical Convert a Gregorian date to a Hijri date,ical --gregorian yyyymmdd Convert a Hirji date to a Gregorian date,ical --hijri yyyymmdd Get capabilities for the given files,getcap path/to/file1 path/to/file2 ... Get capabilities for all the files recursively under the given directories,getcap -r path/to/directory1 path/to/directory2 ... Displays all searched entries even if no capabilities are set,getcap -v path/to/file1 path/to/file2 ... View documentation for the original command,tldr hexdump Play an audio source exactly N times (N=0 means forever),mpg321 -l N path/to/file_a|URL path/to/file_b|URL ... Play a directory recursively,mpg321 -B path/to/directory "Enable Basic Keys ( `*` or `/` - Increase or decrease volume, `n` - Skip song, `m` - Mute/unmute.) while playing",mpg321 -K path/to/file_a|URL path/to/file_b|URL ... Play files randomly until interrupted,mpg321 -Z path/to/file_a|URL path/to/file_b|URL ... Shuffle the files before playing them once,mpg321 -z path/to/file_a|URL path/to/file_b|URL ... "Play all files in the current directory and subdirectories, randomly (until interrupted), with Basic Keys enabled",mpg321 -B -Z -K . Compile a 'package main' file (output will be the filename without extension),go build path/to/main.go "Compile, specifying the output filename",go build -o path/to/binary path/to/source.go Compile a package,go build -o path/to/binary path/to/package "Compile a main package into an executable, enabling data race detection",go build -race -o path/to/executable path/to/main/package Launch the GNOME Calculator GUI,gnome-calculator Solve the specified equation without launching the desktop application,gnome-calculator --solve 2^5 * 2 + 5 Display version,gnome-calculator --version Show version of httpd package,rpm --query httpd List versions of all matching packages,rpm --query --all 'mariadb*' Forcibly install a package regardless of currently installed versions,rpm --upgrade path/to/package.rpm --force Identify owner of a file and show version of the package,rpm --query --file /etc/postfix/main.cf List package-owned files,rpm --query --list kernel Show scriptlets from an RPM file,rpm --query --package --scripts package.rpm "Show changed, missing and/or incorrectly installed files of matching packages",rpm --verify --all 'php-*' Display the changelog of a specific package,rpm --query --changelog package Set wallpaper,swww img path/to/image Set wallpaper to specified [o]utputs,"swww img -o output1,output2,... path/to/image" Restore last wallpaper,swww restore Kill daemon,swww kill Display output information,swww query Launch the GUI,git gui Show a specific file with author name and commit hash on each line,git gui blame path/to/file Open `git gui blame` in a specific revision,git gui blame revision path/to/file Open `git gui blame` and scroll the view to center on a specific line,git gui blame --line=line path/to/file Open a window to make one commit and return to the shell when it is complete,git gui citool "Open `git gui citool` in the ""Amend Last Commit"" mode",git gui citool --amend Open `git gui citool` in a read-only mode,git gui citool --nocommit "Show a browser for the tree of a specific branch, opening the blame tool when clicking on the files",git gui browser maint Create a local branch,git create-branch branch_name Create a branch locally and on origin,git create-branch --remote branch_name Create a branch locally and on upstream (through forks),git create-branch --remote upstream branch_name Install an application to the desktop menu system,xdg-desktop-menu install path/to/file.desktop Install an application to the desktop menu system with the vendor prefix check disabled,xdg-desktop-menu install --novendor path/to/file.desktop Uninstall an application from the desktop menu system,xdg-desktop-menu uninstall path/to/file.desktop Force an update of the desktop menu system,xdg-desktop-menu forceupdate --mode user|system Initialize (or re-encrypt) the storage using one or more GPG IDs,pass init gpg_id_1 gpg_id_2 Save a new password and additional information (press Ctrl + D on a new line to complete),pass insert --multiline path/to/data Edit an entry,pass edit path/to/data Copy a password (first line of the data file) to the clipboard,pass -c path/to/data List the whole store tree,pass "Generate a new random password with a given length, and copy it to the clipboard",pass generate -c path/to/data num Initialize a new Git repository (any changes done by pass will be committed automatically),pass git init Run a Git command on behalf of the password storage,pass git command "Initialize `hut`'s configuration file (this will prompt for an OAuth2 access token, which is required to use `hut`)",hut init List Git/Mercurial repositories,hut git|hg list Create a public Git/Mercurial repository,hut git|hg create name List jobs on ,hut builds list Show the status of a job,hut builds show job_id SSH into a job container,hut ssh job_id Compile a `.java` file,javac path/to/file.java Compile several `.java` files,javac path/to/file1.java path/to/file2.java ... Compile all `.java` files in current directory,javac *.java Compile a `.java` file and place the resulting class file in a specific directory,javac -d path/to/directory path/to/file.java Change the volume label on a specific ext partition,"e2label /dev/sda1 ""label_name""" Print all versions of direct dependencies to `stdout`,npm ls Print all installed packages including peer dependencies,npm ls --all Print dependencies with extended information,npm ls --long Print dependencies in parseable format,npm ls --parseable Print dependencies in JSON format,npm ls --json Start `wuzz`,wuzz Send an HTTP request, + R Switch to the next view," + J, " Switch to the previous view," + K, + " Display help,F1 Convert a PNM image to an RLE image,pnmtorle path/to/input.pnm > path/to/output.rle Print PNM header information to `stdout`,pnmtorle -verbose path/to/input.pnm > path/to/output.rle Include a transparency channel in the output image in which every black pixel is set to fully transparent and every other pixel is set to fully opaque,pnmtorle -alpha path/to/input.pnm > path/to/output.rle Run a command using the input data as arguments,arguments_source | xargs command Run multiple chained commands on the input data,"arguments_source | xargs sh -c ""command1 && command2 | command3""" "Gzip all files with `.log` extension taking advantage of multiple threads (`-print0` uses a null character to split file names, and `-0` uses it as delimiter)",find . -name '*.log' -print0 | xargs -0 -P 4 -n 1 gzip Execute the command once per argument,arguments_source | xargs -n1 command "Execute the command once for each input line, replacing any occurrences of the placeholder (here marked as `_`) with the input line",arguments_source | xargs -I _ command _ optional_extra_arguments "Parallel runs of up to `max-procs` processes at a time; the default is 1. If `max-procs` is 0, xargs will run as many processes as possible at a time",arguments_source | xargs -P max-procs command Restore all files from a btrfs filesystem to a given directory,sudo btrfs restore path/to/btrfs_device path/to/target_directory List (don't write) files to be restored from a btrfs filesystem,sudo btrfs restore --dry-run path/to/btrfs_device path/to/target_directory Restore files matching a given regex ([c]ase-insensitive) files to be restored from a btrfs filesystem (all parent directories of target file(s) must match as well),sudo btrfs restore --path-regex regex -c path/to/btrfs_device path/to/target_directory Restore files from a btrfs filesystem using a specific root tree `bytenr` (see `btrfs-find-root`),sudo btrfs restore -t bytenr path/to/btrfs_device path/to/target_directory "Restore files from a btrfs filesystem (along with metadata, extended attributes, and Symlinks), overwriting files in the target",sudo btrfs restore --metadata --xattr --symlinks --overwrite path/to/btrfs_device path/to/target_directory Send a file to all nodes allocated to the current job,sbcast path/to/file path/to/destination Autodetect shared libraries the transmitted file depends upon and transmit them as well,sbcast --send-libs=yes path/to/executable path/to/destination Print all information about a specific serial device,setserial -a /dev/cuaN Print the configuration summary of a specific serial device (useful for printing during bootup process),setserial -b device Set a specific configuration parameter to a device,sudo setserial device parameter Print the configuration of a list of devices,setserial -g device1 device2 ... Integrate firejail with your desktop environment,sudo firecfg Open a restricted Mozilla Firefox,firejail firefox Start a restricted Apache server on a known interface and address,firejail --net=eth0 --ip=192.168.1.244 /etc/init.d/apache2 start List running sandboxes,firejail --list List network activity from running sandboxes,firejail --netstats Shutdown a running sandbox,firejail --shutdown=7777 Run a restricted Firefox session to browse the internet,firejail --seccomp --private --private-dev --private-tmp --protocol=inet firefox --new-instance --no-remote --safe-mode --private-window Use custom hosts file (overriding `/etc/hosts` file),firejail --hosts-file=~/myhosts curl http://mysite.arpa Display Android app manifest,androguard axml path/to/app.apk Display app metadata (version and app ID),androguard apkid path/to/app.apk Decompile Java code from an app,androguard decompile path/to/app.apk --output path/to/directory Add or override the IFACE.PROG record and run the update scripts if updating is enabled,resolvconf -a IFACE.PROG Delete the IFACE.PROG record and run the update scripts if updating is enabled,resolvconf -d IFACR.PROG Just run the update scripts if updating is enabled,resolvconf -u "Set the flag indicating whether `resolvconf` should run update scripts when invoked with `-a`, `-d` or `-u`",resolvconf --enable-updates Clear the flag indicating whether to run updates,resolvconf --disable-updates Check whether updates are enabled,resolvconf --updates-are-enabled Start `diskonaut` in the current directory,diskonaut Start `diskonaut` in a specific directory,diskonaut path/to/directory Show file sizes rather than their block usage on the disk,diskonaut --apparent-size path/to/directory Disable deletion confirmation,diskonaut --disable-delete-confirmation Start Midnight Commander,mc Start Midnight Commander in black and white,mc -b Colorize edges of one or more graph layouts (that already have layout information) to clarify crossing edges,edgepaint path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv Colorize edges using a color scheme. (See ),edgepaint -color-scheme=accent7 path/to/layout.gv > path/to/output.gv "Lay out a graph and colorize its edges, then convert to a PNG image",dot path/to/input.gv | edgepaint | dot -T png > path/to/output.png Display help,edgepaint -? Start an interactive server setup,path/to/TerrariaServer Start a Terraria server,path/to/TerrariaServer -world path/to/world.wld View documentation for the original command,tldr zstd List all running containers,podman-compose ps Create and start all containers in the background using a local `docker-compose.yml`,podman-compose up -d "Start all containers, building if needed",podman-compose up --build Start all containers using an alternate compose file,podman-compose -f|--file path/to/file.yaml up Stop all running containers,podman-compose stop "Remove all containers, networks, and volumes",podman-compose down --volumes Follow logs for a container (omit all container names),podman-compose logs --follow container_name Run a one-time command in a service with no ports mapped,podman-compose run service_name command Move files using a regular expression-like pattern,zmv '(*).log' '$1.txt' "Preview the result of a move, without making any actual changes",zmv -n '(*).log' '$1.txt' "Interactively move files, with a prompt before every change",zmv -i '(*).log' '$1.txt' Verbosely print each action as it's being executed,zmv -v '(*).log' '$1.txt' Reset a specific key value,dconf reset /path/to/key Reset a specific directory,dconf reset -f /path/to/directory/ Format a USB then create a bootable Windows installation drive,woeusb --device path/to/windows.iso /dev/sdX "Copy Windows files to an existing partition of a USB storage device and make it bootable, without erasing the current data",woeusb --partition path/to/windows.iso /dev/sdXN "Install a package, or update it to the latest available version",sudo nala install package Remove a package,sudo nala remove package Remove a package and its configuration files,nala purge package "Search package names and descriptions using a word, regex (default) or glob","nala search ""pattern""" Update the list of available packages and upgrade the system,sudo nala upgrade Remove all unused packages and dependencies from your system,sudo nala autoremove Fetch fast mirrors to improve download speeds,sudo nala fetch Display the history of all transactions,nala history View documentation for the original command,tldr qm disk move Decompress a specific file,ouch decompress path/to/archive.tar.xz Decompress a file to a specific location,ouch decompress path/to/archive.tar.xz --dir path/to/directory Decompress multiple files,ouch decompress path/to/archive1.tar path/to/archive2.tar.gz ... Compress files,ouch compress path/to/file1 path/to/file2 ... path/to/archive.zip Open a file or directory,atom path/to/file_or_directory Open a file or directory in a [n]ew window,atom -n path/to/file_or_directory Open a file or directory in an existing window,atom --add path/to/file_or_directory Open Atom in safe mode (does not load any additional packages),atom --safe "Prevent Atom from forking into the background, keeping Atom attached to the terminal",atom --foreground Wait for Atom window to close before returning (useful for Git commit editor),atom --wait Download a track or playlist,deemix https://www.deezer.com/us/track/00000000 Download track/playlist at a specific bitrate,deemix --bitrate FLAC|MP3 url Download to a specific path,deemix --bitrate bitrate --path path url Create a portable deemix configuration file in the current directory,deemix --portable --bitrate bitrate --path path url List sessions,abduco "[A]ttach to a session, creating it if it doesn't exist",abduco -A name bash "[A]ttach to a session with `dvtm`, creating it if it doesn't exist",abduco -A name Detach from a session, + \ [A]ttach to a session in [r]ead-only mode,abduco -Ar name "Run a probe against a [u]RL, host, IP Address or subnet (CIDR notation) showing probe status",httpx -probe -u url|host|ipaddress|subnet_with_cidr Run a probe against multiple hosts showing [s]tatus [c]ode with input from `subfinder`,subfinder -d example.com | httpx -sc Run a [r]ate [l]imited probe against a [l]ist of hosts from a file showing [t]echnology [d]etected and [r]esponse [t]ime,httpx -rl 150 -l path/to/newline_separated_hosts_list -td -rt "Run a probe against a [u]RL showing its webpage title, CDN/WAF in use, and page content hash",httpx -u url -title -cdn -hash sha256 Run a probe against a list of hosts with custom defined [p]orts and timeout after certain seconds,"httpx -probe -u host1,host2,... -p http:80,8000-8080,https:443,8443 -timeout 10" Run a probe against a list of hosts [f]iltering out [c]odes of certain responses,"httpx -u host1,host2,... -fc 400,401,404" Run a probe against a list of hosts [m]atching [c]odes of certain responses,"httpx -u host1,host2,... -mc 200,301,304" "Run a probe against a URL [s]aving [s]creenshots of certain paths, with [s]creenshot [t]imeouts (assets are saved in `./output`)","httpx -u https://www.github.com -path /tldr-pages/tldr,/projectdiscovery/httpx -ss -st 10" View all available firewall zones and rules in their runtime configuration state,firewall-cmd --list-all-zones "Permanently move the interface into the block zone, effectively blocking all communication",firewall-cmd --permanent --zone=block --change-interface=enp1s0 Permanently open the port for a service in the specified zone (like port 443 when in the `public` zone),firewall-cmd --permanent --zone=public --add-service=https Permanently close the port for a service in the specified zone (like port 80 when in the `public` zone),firewall-cmd --permanent --zone=public --remove-service=http Permanently forward a port for incoming packets in the specified zone (like port 443 to 8443 when entering the `public` zone),"firewall-cmd --permanent --zone=public --add-rich-rule='rule family=""ipv4|ipv6"" forward-port port=""443"" protocol=""udp|tcp"" to-port=""8443""'" Reload firewalld to lose any runtime changes and force the permanent configuration to take effect immediately,firewall-cmd --reload Save the runtime configuration state to the permanent configuration,firewall-cmd --runtime-to-permanent "Enable panic mode in case of Emergency. All traffic is dropped, any active connection will be terminated",firewall-cmd --panic-on Show deleted files,git ls-files --deleted Show modified and deleted files,git ls-files --modified Show ignored and untracked files,git ls-files --others "Show untracked files, not ignored",git ls-files --others --exclude-standard Show the current TeX Live configuration,tlmgr conf "Show the current `texmf`, `tlmgr`, or `updmap` configuration",tlmgr conf texmf|tlmgr|updmap Show only a specific configuration option,tlmgr conf texmf|tlmgr|updmap configuration_key Set a specific configuration option,tlmgr conf texmf|tlmgr|updmap configuration_key value Delete a specific configuration option,tlmgr conf texmf|tlmgr|updmap --delete configuration_key Disable the execution of system calls via `\write18`,tlmgr conf texmf shell_escape 0 Show all additional `texmf` trees,tlmgr conf auxtrees show Connect to the Tor network,tor View Tor configuration,tor --config Check Tor status,tor --status Run as client only,tor --client Run as relay,tor --relay Run as bridge,tor --bridge Run as a hidden service,tor --hidden-service View documentation for managing `cron` entries,tldr crontab Compile and install terminfo for a terminal,tic -xe terminal path/to/terminal.info Check terminfo file for errors,tic -c path/to/terminal.info Print database locations,tic -D "Read a Berkeley YUV file from the specified input file, convert it to a PPM image and store it in the specified output file",eyuvtoppm --width width --height height path/to/input_file.eyuv > path/to/output_file.ppm "Install shell completion for the current shell (supports Bash, fish, Zsh and PowerShell)",pio system completion install Uninstall shell completion for the current shell,pio system completion uninstall Display system-wide PlatformIO information,pio system info Remove unused PlatformIO data,pio system prune Remove only cached data,pio system prune --cache List unused PlatformIO data that would be removed but do not actually remove it,pio system prune --dry-run List all available backup revisions for all packages,tlmgr restore List all available backup revisions for a specific package,tlmgr restore package Restore a specific revision of a specific package,tlmgr restore package revision Restore the latest revision of all backed-up packages,tlmgr restore --all Restore a package from a custom backup directory,tlmgr restore package revision --backupdir path/to/backup_directory Perform a dry-run and print all taken actions without making them,tlmgr restore --dry-run package revision Play a WAV file over the default target,pw-cat --playback path/to/file.wav Play a WAV file with a specified resampler quality (4 by default),pw-cat --quality 0..15 --playback path/to/file.wav Record a sample recording at a volume level of 125%,pw-cat --record --volume 1.25 path/to/file.wav Record a sample recording using a different sample rate,pw-cat --record --rate 6000 path/to/file.wav Compute the object ID without storing it,git hash-object path/to/file Compute the object ID and store it in the Git database,git hash-object -w path/to/file Compute the object ID specifying the object type,git hash-object -t blob|commit|tag|tree path/to/file Compute the object ID from `stdin`,cat path/to/file | git hash-object --stdin List all peers,peerindex master6.mrt Display all peers that have provided routing information,peerindex -r master6.mrt Convert a PPM image to a ICR file,ppmtoicr path/to/file.ppm > path/to/file.icr Display the output in name,ppmtoicr -windowname name path/to/file.ppm > path/to/file.icr Expand the image by the specified factor,ppmtoicr -expand factor path/to/file.ppm > path/to/file.icr Display the output on the screen with the specified number,ppmtoicr -display number path/to/file.ppm > path/to/file.icr Show information about an address or network with a given subnet mask,ipcalc 1.2.3.4 255.255.255.0 Show information about an address or network in CIDR notation,ipcalc 1.2.3.4/24 Show the broadcast address of an address or network,ipcalc -b 1.2.3.4/30 Show the network address of provided IP address and netmask,ipcalc -n 1.2.3.4/24 Display geographic information about a given IP address,ipcalc -g 1.2.3.4 Restore all deleted files inside partition N on device X,sudo extundelete /dev/sdXN --restore-all Restore a file from a path relative to root (Do not start the path with `/`),extundelete /dev/sdXN --restore-file path/to/file Restore a directory from a path relative to root (Do not start the path with `/`),extundelete /dev/sdXN --restore-directory path/to/directory "Restore all files deleted after January 1st, 2020 (in Unix time)",extundelete /dev/sdXN --restore-all --after 1577840400 "List domains, target IP addresses and on/off status",hostess list Add a domain pointing to your machine to your hosts file,hostess add local.example.com 127.0.0.1 Remove a domain from your hosts file,hostess del local.example.com Disable a domain (but don't remove it),hostess off local.example.com Encode a file with base64 encoding,basenc --base64 path/to/file Decode a file with base64 encoding,basenc --decode --base64 path/to/file Encode from `stdin` with base32 encoding with 42 columns,command | basenc --base32 -w42 Encode from `stdin` with base32 encoding,command | basenc --base32 Request data based on the `build_id`,debuginfod-find -vv debuginfo build_id Compress a JPEG image,guetzli input.jpg output.jpg Create a compressed JPEG from a PNG,guetzli input.png output.jpg Compress a JPEG with the desired visual quality (84-100),guetzli --quality quality_value input.jpg output.jpg Follow another user,keybase follow username Add a new proof,keybase prove service service_username Sign a file,keybase sign --infile input_file --outfile output_file Verify a signed file,keybase verify --infile input_file --outfile output_file Encrypt a file,keybase encrypt --infile input_file --outfile output_file receiver Decrypt a file,keybase decrypt --infile input_file --outfile output_file "Revoke current device, log out, and delete local data",keybase deprovision "Use current directory as the repository, initialize a SFTP storage and encrypt the storage with a password",duplicacy init -e snapshot_id sftp://user@192.168.2.100/path/to/storage/ Save a snapshot of the repository to the default storage,duplicacy backup List snapshots of current repository,duplicacy list Restore the repository to a previously saved snapshot,duplicacy restore -r revision Check the integrity of snapshots,duplicacy check Add another storage to be used for the existing repository,duplicacy add storage_name snapshot_id storage_url Prune a specific revision of snapshot,duplicacy prune -r revision "Prune revisions, keeping one revision every `n` days for all revisions older than `m` days",duplicacy prune -keep n:m Create a user interactively,register_new_matrix_user --config path/to/homeserver.yaml Create an admin user interactively,register_new_matrix_user --config path/to/homeserver.yaml --admin Create an admin user non-interactively (not recommended),register_new_matrix_user --config path/to/homeserver.yaml --user username --password password --admin Upload a file/folder to Google Drive,skicka upload path/to/local path/to/remote Download a file/folder from Google Drive,skicka download path/to/remote path/to/local List files,skicka ls path/to/folder Show amount of space used by children folders,skicka du path/to/parent/folder Create a folder,skicka mkdir path/to/folder Delete a file,skicka rm path/to/file Get graphics card information,hwinfo --gfxcard Get network device information,hwinfo --network "List disks and CD-ROM drives, abbreviating the output",hwinfo --short --disk --cdrom Write all hardware information to a file,hwinfo --all --log path/to/file Display help,hwinfo --help Set a read-only variable,readonly variable_name=value Mark a variable as read-only,readonly existing_variable [p]rint the names and values of all read-only variables to `stdout`,readonly -p View documentation for the original command,tldr tldr-lint List files in a Zip archive,atool --list path/to/archive.zip Unpack a tar.gz archive into a new subdirectory (or current directory if it contains only one file),atool --extract path/to/archive.tar.gz Create a new 7z archive with two files,atool --add path/to/archive.7z path/to/file1 path/to/file2 ... Extract all Zip and rar archives in the current directory,atool --each --extract *.zip *.rar Run in portable mode,QOwnNotes --portable Dump settings and other information about the app and environment in GitHub Markdown,QOwnNotes --dump-settings Specify a different context for settings and internal files,QOwnNotes --session test Trigger a menu action after the application was started,QOwnNotes --action actionShow_Todo_List Mount a `.raw` image file into a DMG container file,xmount --in raw path/to/image.dd --out dmg mountpoint Mount an EWF image file with write-cache support into a VHD file to boot from,xmount --cache path/to/cache.ovl --in ewf path/to/image.E?? --out vhd mountpoint Mount the first partition at sector 2048 into a new `.raw` image file,xmount --offset 2048 --in raw path/to/image.dd --out raw mountpoint Print environment information,accelerate env Interactively create a configuration file,accelerate config Print the estimated GPU memory cost of running a Hugging Face model with different data types,accelerate estimate-memory name/model Test an Accelerate configuration file,accelerate test --config_file path/to/config.yaml Run a model on CPU with Accelerate,accelerate launch path/to/script.py --cpu "Run a model on multi-GPU with Accelerate, with 2 machines",accelerate launch path/to/script.py --multi_gpu --num_machines 2 "Plot the values `1`, `2` and `3` (`cat` prevents ttyplot to exit)",{ echo 1 2 3; cat } | ttyplot Set a specific title and unit,{ echo 1 2 3; cat } | ttyplot -t title -u unit Use a while loop to continuously plot random values,{ while true; do echo $RANDOM; sleep 1; done } | ttyplot Parse the output from `ping` and visualize it,"ping 8.8.8.8 | sed -u 's/^.*time=//g; s/ ms//g' | ttyplot -t ""ping to 8.8.8.8"" -u ms" Format a Haskell source file and print the result to `stdout`,brittany path/to/file.hs Format all Haskell source files in the current directory in-place,brittany --write-mode=inplace *.hs Check whether a Haskell source file needs changes and indicate the result through the programme's exit code,brittany --check-mode path/to/file.hs Format a Haskell source file using the specified amount of spaces per indentation level and line length,brittany --indent 4 --columns 100 path/to/file.hs Format a Haskell source file according to the style defined in the specified configuration file,brittany --config-file path/to/config.yaml path/to/file.hs "Read ASCII data as input and produce a PGM image with pixel values that are an approximation of the ""brightness"" of the ASCII characters",asciitopgm path/to/input_file > path/to/output_file.pgm Display version,asciitopgm -version Run an installed application,flatpak run com.example.app Install an application from a remote source,flatpak install remote_name com.example.app "List installed applications, ignoring runtimes",flatpak list --app Update all installed applications and runtimes,flatpak update Add a remote source,flatpak remote-add --if-not-exists remote_name remote_url Remove an installed application,flatpak remove com.example.app Remove all unused applications,flatpak remove --unused Show information about an installed application,flatpak info com.example.app View documentation for the original command,tldr helix Print a horizontal rule,hr Print a horizontal rule with a custom string,hr string Print a multiline horizontal rule,hr string1 string2 ... Print the lines where a specific column is numerically equal to a given number,tsv-filter -H --eq field_name:number path/to/tsv_file Print the lines where a specific column is [eq]ual/[n]on [e]qual/[l]ess [t]han/[l]ess than or [e]qual/[g]reater [t]han/[g]reater than or [e]qual to a given number,tsv-filter --eq|ne|lt|le|gt|ge column_number:number path/to/tsv_file Print the lines where a specific column is [eq]ual/[n]ot [e]qual/part of/not part of a given string,tsv-filter --str-eq|ne|in-fld|not-in-fld column_number:string path/to/tsv_file Filter for non-empty fields,tsv-filter --not-empty column_number path/to/tsv_file Print the lines where a specific column is empty,tsv-filter --invert --not-empty column_number path/to/tsv_file Print the lines that satisfy two conditions,tsv-filter --eq column_number1:number --str-eq column_number2:string path/to/tsv_file Print the lines that match at least one condition,tsv-filter --or --eq column_number1:number --str-eq column_number2:string path/to/tsv_file "Count matching lines, interpreting first line as a [H]eader",tsv-filter --count -H --eq field_name:number path/to/tsv_file Encode a file,base64 path/to/file Wrap encoded output at a specific width (`0` disables wrapping),base64 -w|--wrap 0|76|... path/to/file Decode a file,base64 -d|--decode path/to/file Encode from `stdin`,command | base64 Decode from `stdin`,command | base64 -d|--decode Run the default task,gulp Run individual tasks,gulp task othertask Print the task dependency tree for the loaded gulpfile,gulp --tasks Display amount of program memory available,st-info --flash Display amount of SRAM memory available,st-info --sram Display summarized information of the device,st-info --probe Calibrate power usage measurements,sudo powertop --calibrate Generate HTML power usage report in the current directory,sudo powertop --html=power_report.html Tune to optimal settings,sudo powertop --auto-tune Generate a report for a specified number of seconds (instead of 20 by default),sudo powertop --time=5 Convert single images and/or whole directories containing valid image formats,imgp -x 1366x1000 path/to/directory path/to/file Scale an image by 75% and overwrite the source image to a target resolution,imgp -x 75 -w path/to/file Rotate an image clockwise by 90 degrees,imgp -o 90 path/to/file Record an application,rr record path/to/binary --arg1 --arg2 Replay latest recorded execution,rr replay Build the artifacts,skaffold build -f skaffold.yaml Build and deploy your app every time your code changes,skaffold dev -f skaffold.yaml Run a pipeline file,skaffold run -f skaffold.yaml Run a diagnostic on Skaffold,skaffold diagnose -f skaffold.yaml Deploy the artifacts,skaffold deploy -f skaffold.yaml Individual actions/programs on run-mailcap can be invoked with action flag,run-mailcap --action=ACTION [--option[=value]] In simple language,run-mailcap --action=ACTION filename Turn on extra information,run-mailcap --action=ACTION --debug filename "Ignore any ""copiousoutput"" directive and forward output to `stdout`",run-mailcap --action=ACTION --nopager filename Display the found command without actually executing it,run-mailcap --action=ACTION --norun filename "Rotate a PNM image by some angle (measured in degrees, counter-clockwise)",pnmrotate angle path/to/input.pnm > path/to/output.pnm Specify the background color exposed by rotating the input image,pnmrotate -background color angle path/to/input.pnm > path/to/output.pnm "Disable anti-aliasing, improving performance but decreasing quality",pnmrotate -noantialias angle path/to/input.pnm > path/to/output.pnm Truncate the Elasticsearch index,cradle elastic flush Truncate the Elasticsearch index for a specific package,cradle elastic flush package Submit the Elasticsearch schema,cradle elastic map Submit the Elasticsearch schema for a specific package,cradle elastic map package Populate the Elasticsearch indices for all packages,cradle elastic populate Populate the Elasticsearch indices for a specific package,cradle elastic populate package "Clone an existing repository to current directory (If run into authentication problem, try full SSH path)",hub clone remote_repository_location Create a NTFS filesystem inside partition 1 on device b (`sdb1`),mkfs.ntfs /dev/sdb1 Create filesystem with a volume-label,mkfs.ntfs -L volume_label /dev/sdb1 Create filesystem with specific UUID,mkfs.ntfs -U UUID /dev/sdb1 List all supported ID3v2.3 or ID3v2.4 frames and their meanings,id3v2 --list-frames path/to/file1.mp3 path/to/file2.mp3 ... List all supported ID3v1 numeric genres,id3v2 --list-genres path/to/file1.mp3 path/to/file2.mp3 ... List all tags in specific files,id3v2 --list path/to/file1.mp3 path/to/file2.mp3 ... "Set specific artist, album, or song information",id3v2 --artist|--album|--song=string path/to/file1.mp3 path/to/file2.mp3 ... Set specific picture information,id3v2 --picture=filename:description:image_type:mime_type path/to/file1.mp3 path/to/file2.mp3 ... Set specific year information,id3v2 --year=YYYY path/to/file1.mp3 path/to/file2.mp3 ... Set specific date information,id3v2 --date=YYYY-MM-DD path/to/file1.mp3 path/to/file2.mp3 ... "Print documentation on a subject (Python keyword, topic, function, module, package, etc.)",pydoc subject Start an HTTP server on an arbitrary unused port and open a [b]rowser to see the documentation,pydoc -b Display help,pydoc View documentation for authenticating `pkgctl` with services like GitLab,tldr pkgctl auth View documentation for building packages inside a clean `chroot`,tldr pkgctl build View documentation for updating the binary repository as final release step,tldr pkgctl db update View documentation for comparing package files using different modes,tldr pkgctl diff View documentation for releasing build artifacts,tldr pkgctl release View documentation for managing Git packaging repositories and their configuration,tldr pkgctl repo Display version,pkgctl version Simply convert RAW files to JPEG,ufraw-batch --out-type=jpg input_file(s) Simply convert RAW files to PNG,ufraw-batch --out-type=png input_file(s) Extract the preview image from the raw file,ufraw-batch --embedded-image input_file(s) Save the file with size up to the given maximums MAX1 and MAX2,"ufraw-batch --size=MAX1,MAX2 input_file(s)" List all configured repositories and their tags (if set),tlmgr repository list List all packages available in a specific repository,tlmgr repository list path|url|tag Add a new repository with a specific tag (the tag is not required),sudo tlmgr repository add path|url tag Remove a specific repository,sudo tlmgr repository remove path|url|tag "Set a new list of repositories, overwriting the previous list",sudo tlmgr repository set path|url|tag#tag path|url|tag#tag ... Show the verification status of all configured repositories,tlmgr repository status Log in to Private Internet Access,piactl login path/to/login_file Connect to Private Internet Access,piactl connect Disconnect from Private Internet Access,piactl disconnect Enable or disable the Private Internet Access daemon in the background,piactl background enable|disable List all available VPN regions,piactl get regions Display the current VPN region,piactl get region Set your VPN region,piactl set region region Log out of Private Internet Access,piactl logout Get the length of a specific string,"expr length ""string""" Get the substring of a string with a specific length,"expr substr ""string"" from length" Match a specific substring against an anchored pattern,"expr match ""string"" 'pattern'" Get the first char position from a specific set in a string,"expr index ""string"" ""chars""" Calculate a specific mathematic expression,expr expression1 +|-|*|/|% expression2 Get the first expression if its value is non-zero and not null otherwise get the second one,expr expression1 \| expression2 Get the first expression if both expressions are non-zero and not null otherwise get zero,expr expression1 \& expression2 Get the commit hash of a branch,git rev-parse branch_name Get the current branch name,git rev-parse --abbrev-ref HEAD Get the absolute path to the root directory,git rev-parse --show-toplevel Display the status of every service,sudo gitlab-ctl status Display the status of a specific service,sudo gitlab-ctl status nginx Restart every service,sudo gitlab-ctl restart Restart a specific service,sudo gitlab-ctl restart nginx Display the logs of every service and keep reading until `Ctrl + C` is pressed,sudo gitlab-ctl tail Display the logs of a specific service,sudo gitlab-ctl tail nginx View documentation for the current command,tldr pamfix Recursively search for a pattern in the current working directory,"rgrep ""search_pattern""" Recursively search for a case-insensitive pattern in the current working directory,"rgrep --ignore-case ""search_pattern""" "Recursively search for an extended regular expression pattern (supports `?`, `+`, `{}`, `()` and `|`) in the current working directory","rgrep --extended-regexp ""search_pattern""" Recursively search for an exact string (disables regular expressions) in the current working directory,"rgrep --fixed-strings ""exact_string""" Recursively search for a pattern in a specified directory (or file),"rgrep ""search_pattern"" path/to/file_or_directory" Register `nmcli` as a secret agent and listen for secret requests,nmcli agent secret Register `nmcli` as a polkit agent and listen for authorization requests,nmcli agent polkit Register `nmcli` as a secret agent and a polkit agent,nmcli agent all List available portable service images discovered in the portable image search paths,portablectl list Attach a portable service image to the host system,portablectl attach path/to/image Detach a portable service image from the host system,portablectl detach path/to/image|image_name Display details and metadata about a specified portable service image,portablectl inspect path/to/image Check if a portable service image is attached to the host system,portablectl is-attached path/to/image|image_name Launch an interactive menu to setup rclone,rclone config List contents of a directory on an rclone remote,rclone lsf remote_name:path/to/directory Copy a file or directory from the local machine to the remote destination,rclone copy path/to/source_file_or_directory remote_name:path/to/directory "Copy files changed within the past 24 hours to a remote from the local machine, asking the user to confirm each file",rclone copy --interactive --max-age 24h remote_name:path/to/directory path/to/local_directory "Mirror a specific file or directory (Note: Unlike copy, sync removes files from the remote if it does not exist locally)",rclone sync path/to/file_or_directory remote_name:path/to/directory "Delete a remote file or directory (Note: `--dry-run` means test, remove it from the command to actually delete)",rclone --dry-run delete remote_name:path/to/file_or_directory Mount rclone remote (experimental),rclone mount remote_name:path/to/directory path/to/mount_point Unmount rclone remote if CTRL-C fails (experimental),fusermount -u path/to/mount_point Create an image using a `Dockerfile` or `Containerfile` in the specified directory,podman build path/to/directory Create an image with a specified tag,podman build --tag image_name:version path/to/directory Create an image from a non-standard file,podman build --file Containerfile.different . Create an image without using any previously cached images,podman build --no-cache path/to/directory Create an image suppressing all output,podman build --quiet path/to/directory Connect to a remote server,ssh username@remote_host Connect to a remote server with a specific identity (private key),ssh -i path/to/key_file username@remote_host Connect to a remote server using a specific [p]ort,ssh username@remote_host -p 2222 Run a command on a remote server with a [t]ty allocation allowing interaction with the remote command,ssh username@remote_host -t command command_arguments SSH tunneling: [D]ynamic port forwarding (SOCKS proxy on `localhost:1080`),ssh -D 1080 username@remote_host SSH tunneling: Forward a specific port (`localhost:9999` to `example.org:80`) along with disabling pseudo-[T]ty allocation and executio[N] of remote commands,ssh -L 9999:example.org:80 -N -T username@remote_host SSH [J]umping: Connect through a jumphost to a remote server (Multiple jump hops may be specified separated by comma characters),ssh -J username@jump_host username@remote_host Close a hanged session, ~ . Check updates for `http`,httpie cli check-updates List installed `http` plugins,httpie cli plugins list Install/upgrade/uninstall plugins,httpie cli plugins install|upgrade|uninstall plugin_name Remove one or more images given their names,podman rmi image:tag image2:tag ... Force remove an image,podman rmi --force image Remove an image without deleting untagged parents,podman rmi --no-prune image Display help,podman rmi Change the current user's SMB password,smbpasswd Add a specified user to Samba and set password (user should already exist in system),sudo smbpasswd -a username Modify an existing Samba user's password,sudo smbpasswd username Delete a Samba user (use `pdbedit` instead if the Unix account has been deleted),sudo smbpasswd -x username Generate a rainbow consisting of the specified colors,ppmrainbow color1 color2 ... > path/to/output_file.ppm Specify the size of the output in pixels,ppmrainbow -width width -height height color1 color2 ... > path/to/output_file.ppm "End the rainbow with the last color specified, do not repeat the first color",ppmrainbow -norepeat color1 color2 ... > path/to/output_file.ppm Check that Lynis is up-to-date,sudo lynis update info Run a security audit of the system,sudo lynis audit system Run a security audit of a Dockerfile,sudo lynis audit dockerfile path/to/dockerfile Create a stack from a template file,aws cloudformation create-stack --stack-name stack-name --region region --template-body file://path/to/file.yml --profile profile Delete a stack,aws cloudformation delete-stack --stack-name stack-name --profile profile List all stacks,aws cloudformation list-stacks --profile profile List all running stacks,aws cloudformation list-stacks --stack-status-filter CREATE_COMPLETE --profile profile Check the status of a stack,aws cloudformation describe-stacks --stack-name stack-id --profile profile Initiate drift detection for a stack,aws cloudformation detect-stack-drift --stack-name stack-id --profile profile Check the drift status output of a stack using 'StackDriftDetectionId' from the previous command output,aws cloudformation describe-stack-resource-drifts --stack-name stack-drift-detection-id --profile profile Get a snapshot of the device state at a specific path,gnmic --address ip:port get --path path Query the device state at multiple paths,gnmic -a ip:port get --path path/to/file_or_directory1 --path path/to/file_or_directory2 Query the device state at multiple paths with a common prefix,gnmic -a ip:port get --prefix prefix --path path/to/file_or_directory1 --path path/to/file_or_directory2 Query the device state and specify response encoding (json_ietf),gnmic -a ip:port get --path path --encoding json_ietf "Start an SSH session, restarting when the [M]onitoring port fails to return data","autossh -M monitor_port ""ssh_command""" "Forward a [L]ocal port to a remote one, restarting when necessary",autossh -M monitor_port -L local_port:localhost:remote_port user@host Fork `autossh` into the background before executing SSH and do [N]ot open a remote shell,"autossh -f -M monitor_port -N ""ssh_command""" "Run in the background, with no monitoring port, and instead send SSH keep-alive packets every 10 seconds to detect failure","autossh -f -M 0 -N -o ""ServerAliveInterval 10"" -o ""ServerAliveCountMax 3"" ""ssh_command""" "Run in the background, with no monitoring port and no remote shell, exiting if the port forward fails","autossh -f -M 0 -N -o ""ServerAliveInterval 10"" -o ""ServerAliveCountMax 3"" -o ExitOnForwardFailure=yes -L local_port:localhost:remote_port user@host" "Run in the background, logging `autossh` debug output and SSH verbose output to files",AUTOSSH_DEBUG=1 AUTOSSH_LOGFILE=path/to/autossh_log_file.log autossh -f -M monitor_port -v -E path/to/ssh_log_file.log ssh_command Connect to a server,openconnect vpn.example.org "Connect to a server, forking into the background",openconnect --background vpn.example.org Terminate the connection that is running in the background,killall -SIGINT openconnect "Connect to a server, reading options from a configuration file",openconnect --config=path/to/file vpn.example.org Connect to a server and authenticate with a specific SSL client certificate,openconnect --certificate=path/to/file vpn.example.org Open an image,sxiv path/to/image Open an image in fullscreen mode,sxiv -f path/to/file "Open a newline-separated list of images, reading filenames from `stdin`",echo path/to/file | sxiv -i Open one or more images as a slideshow,sxiv -S seconds path/to/image1 path/to/image2 Open one or more images in thumbnail mode,sxiv -t path/to/image1 path/to/image2 Open the user interface,nmtui "List available connections, with the option to activate or deactivate them",nmtui connect Connect to a given network,nmtui connect name|uuid|device|SSID Edit/Add/Delete a given network,nmtui edit name|id Set the system hostname,nmtui hostname Get information about a domain name,whois example.com Get information about an IP address,whois 8.8.8.8 Get abuse contact for an IP address,whois -b 8.8.8.8 Make a bootable USB drive from an isohybrid file (such as `archlinux-xxx.iso`) and show the progress,dd if=path/to/file.iso of=/dev/usb_drive status=progress Clone a drive to another drive with 4 MiB block size and flush writes before the command terminates,dd bs=4194304 conv=fsync if=/dev/source_drive of=/dev/dest_drive Generate a file with a specific number of random bytes by using kernel random driver,dd bs=100 count=1 if=/dev/urandom of=path/to/random_file Benchmark the sequential write performance of a disk,dd bs=1024 count=1000000 if=/dev/zero of=path/to/file_1GB "Create a system backup, save it into an IMG file (can be restored later by swapping `if` and `of`), and show the progress",dd if=/dev/drive_device of=path/to/file.img status=progress Update PO files and documents according to the specified configuration file,po4a path/to/config_file Connect to a local instance on the default port (`neo4j://localhost:7687`),cypher-shell Connect to a remote instance,cypher-shell --address neo4j://host:port Connect and supply security credentials,cypher-shell --username username --password password Connect to a specific database,cypher-shell --database database_name Execute Cypher statements in a file and close,cypher-shell --file path/to/file.cypher Enable logging to a file,cypher-shell --log path/to/file.log Display help,cypher-shell --help Update symlinks and rebuild the cache (usually run when a new library is installed),sudo ldconfig Update the symlinks for a given directory,sudo ldconfig -n path/to/directory Print the libraries in the cache and check whether a given library is present,ldconfig -p | grep library_name Commit changes to all DVC-tracked files and directories,dvc commit Commit changes to a specified DVC-tracked target,dvc commit target Recursively commit all DVC-tracked files in a directory,dvc commit --recursive path/to/directory Extract the sound from a video and save it as MP3,ffmpeg -i path/to/video.mp4 -vn path/to/sound.mp3 "Transcode a FLAC file to Red Book CD format (44100kHz, 16bit)",ffmpeg -i path/to/input_audio.flac -ar 44100 -sample_fmt s16 path/to/output_audio.wav "Save a video as GIF, scaling the height to 1000px and setting framerate to 15",ffmpeg -i path/to/video.mp4 -vf 'scale=-1:1000' -r 15 path/to/output.gif "Combine numbered images (`frame_1.jpg`, `frame_2.jpg`, etc) into a video or GIF",ffmpeg -i path/to/frame_%d.jpg -f image2 video.mpg|video.gif Trim a video from a given start time mm:ss to an end time mm2:ss2 (omit the -to flag to trim till the end),ffmpeg -i path/to/input_video.mp4 -ss mm:ss -to mm2:ss2 -codec copy path/to/output_video.mp4 "Convert AVI video to MP4. AAC Audio @ 128kbit, h264 Video @ CRF 23",ffmpeg -i path/to/input_video.avi -codec:a aac -b:a 128k -codec:v libx264 -crf 23 path/to/output_video.mp4 Remux MKV video to MP4 without re-encoding audio or video streams,ffmpeg -i path/to/input_video.mkv -codec copy path/to/output_video.mp4 "Convert MP4 video to VP9 codec. For the best quality, use a CRF value (recommended range 15-35) and -b:v MUST be 0",ffmpeg -i path/to/input_video.mp4 -codec:v libvpx-vp9 -crf 30 -b:v 0 -codec:a libopus -vbr on -threads number_of_threads path/to/output_video.webm List all installed Node.js versions,Get-NodeVersions List all available Node.js versions,Get-NodeVersions -Remote List all available Node.js 20.x versions,"Get-NodeVersions -Remote -Filter "">=20.0.0 <21.0.0""" Transform a SVG file into a React component to `stdout`,svgr -- path/to/file.svg Transform a SVG file into a React component using TypeScript to `stdout`,svgr --typescript -- path/to/file.svg Transform a SVG file into a React component using JSX transform to `stdout`,svgr --jsx-runtime automatic -- path/to/file.svg Transform all SVG files from a directory to React components into a specific directory,svgr --out-dir path/to/output_directory path/to/input_directory Transform all SVG files from a directory to React components into a specific directory skipping already transformed files,svgr --out-dir path/to/output_directory --ignore-existing path/to/input_directory Transform all SVG files from a directory to React components into a specific directory using a specific case for filenames,svgr --out-dir path/to/output_directory --filename-case camel|kebab|pascal path/to/input_directory Transform all SVG files from a directory to React components into a specific directory without generating an index file,svgr --out-dir path/to/output_directory --no-index path/to/input_directory Install a formula/cask,brew install formula|cask Build and install a formula from source (dependencies will still be installed from bottles),brew install --build-from-source formula "Download the manifest, print what would be installed but don't actually install anything",brew install --dry-run formula|cask Create a blank bitmap of the specified dimensions,pbmmake width height > path/to/output_file.pbm Specify the color of the created bitmap,pbmmake -white|black|grey width height > path/to/output_file.pbm View documentation for the original command,tldr radare2 Login to Hugging Face Hub,huggingface-cli login Display the name of the logged in user,huggingface-cli whoami Log out,huggingface-cli logout Print information about the environment,huggingface-cli env Download files from an repository and print out the path (omit filenames to download entire repository),huggingface-cli download --repo-type repo_type repo_id filename1 filename2 ... Upload an entire folder or a file to Hugging Face,huggingface-cli upload --repo-type repo_type repo_id path/to/local_file_or_directory path/to/repo_file_or_directory Scan cache to see downloaded repositories and their disk usage,huggingface-cli scan-cache Delete the cache interactively,huggingface-cli delete-cache Create a new group,cgcreate -g group_type:group_name Create a new group with multiple cgroup types,"cgcreate -g group_type1,group_type2:group_name" Create a subgroup,mkdir /sys/fs/cgroup/group_type/group_name/subgroup_name Start a rolling restart of a resource,kubectl rollout restart resource_type/resource_name Watch the rolling update status of a resource,kubectl rollout status resource_type/resource_name Roll back a resource to the previous revision,kubectl rollout undo resource_type/resource_name View the rollout history of a resource,kubectl rollout history resource_type/resource_name Start the DBMS,neo4j-admin server start Stop the DBMS,neo4j-admin server stop Set the initial password of the default `neo4j` user (prerequisite for the first start of the DBMS),neo4j-admin dbms set-initial-password database_name Create an archive (dump) of an offline database to a file named `database_name.dump`,neo4j-admin database dump --to-path=path/to/directory database_name Load a database from an archive named `database_name.dump`,neo4j-admin database load --from-path=path/to/directory database_name --overwrite-destination=true Load a database from a specified archive file through `stdin`,neo4j-admin database load --from-stdin database_name --overwrite-destination=true < path/to/filename.dump Display help,neo4j-admin --help Show the queued jobs of the default destination,lpq Show the queued jobs of all printers enforcing encryption,lpq -a -E Show the queued jobs in a long format,lpq -l Show the queued jobs of a specific printer or class,lpq -P destination[/instance] Show the queued jobs once every n seconds until the queue is empty,lpq +interval Display metadata for a given file in the console,mediainfo file Store the output to a given file along with displaying in the console,mediainfo --Logfile=out.txt file List metadata attributes that can be extracted,mediainfo --Info-Parameters View security context of a file,ls -lZ path/to/file "Change the security context of a target file, using a reference file",chcon --reference=reference_file target_file Change the full SELinux security context of a file,chcon user:role:type:range/level filename Change only the user part of SELinux security context,chcon -u user filename Change only the role part of SELinux security context,chcon -r role filename Change only the type part of SELinux security context,chcon -t type filename Change only the range/level part of SELinux security context,chcon -l range/level filename View documentation for the original command,tldr npm ls Open the current user home directory,caja Open specific directories in separate windows,caja path/to/directory1 path/to/directory2 ... Open specific directories in tabs,caja --tabs path/to/directory1 path/to/directory2 ... Open a directory with a specific window size,caja --geometry=600x400 path/to/directory Close all windows,caja --quit "Set the daemon's nice value to the specified value, typically a negative number",slurmdbd -n value Change the working directory of `slurmdbd` to the LogFile path or to `/var/tmp`,slurmdbd -s Display help,slurmdbd -h Display version,slurmdbd -V List currently running Docker containers,docker ps List all Docker containers (running and stopped),docker ps --all Show the latest created container (includes all states),docker ps --latest Filter containers that contain a substring in their name,"docker ps --filter ""name=name""" Filter containers that share a given image as an ancestor,"docker ps --filter ""ancestor=image:tag""" Filter containers by exit status code,"docker ps --all --filter ""exited=code""" "Filter containers by status (created, running, removing, paused, exited and dead)","docker ps --filter ""status=status""" Filter containers that mount a specific volume or have a volume mounted in a specific path,"docker ps --filter ""volume=path/to/directory"" --format ""table .ID\t.Image\t.Names\t.Mounts""" Show example usage of a command,cheat command Edit the cheat sheet for a command,cheat -e command List the available cheat sheets,cheat -l Search available the cheat sheets for a specified command name,cheat -s command Display version,cheat -v List all profiles,nyxt --list-data-profiles Set the `init.lisp` file path,nyxt --init path/to/file Change the path to the auto-config file,nyxt --auto-config path/to/file Print system information,nyxt --system-information Select full path and size from temporary or configuration files in a given directory,"fselect size, path from path/to/directory where name = '*.cfg' or name = '*.tmp'" Find square images,fselect path from path/to/directory where width = height Find old-school rap 320kbps MP3 files,fselect path from path/to/directory where genre = Rap and bitrate = 320 and mp3_year lt 2000 Select only the first 5 results and output as JSON,"fselect size, path from path/to/directory limit 5 into json" "Use SQL aggregate functions to calculate minimum, maximum and average size of files in a directory","fselect ""MIN(size), MAX(size), AVG(size), SUM(size), COUNT(*) from path/to/directory""" Analyze specific directory,dua path/to/directory Display apparent size instead of disk usage,dua --apparent-size Count hard-linked files each time they are seen,dua --count-hard-links Aggregate the consumed space of one or more directories or files,dua aggregate Launch the terminal user interface,dua interactive Format printing byte counts,dua --format metric|binary|bytes|GB|GiB|MB|MiB Use a specific number of threads (defaults to the process number of threads),dua --threads count Convert the specified PGM image to Lisp Machine format,pgmtolispm path/to/input.pgm > path/to/output.lispm Copy specific files from a remote,git rscp remote_name path/to/file1 path/to/file2 ... Copy a specific directory from a remote,git rscp remote_name path/to/directory Run command in a new container from a tagged image,podman run image:tag command Run command in a new container in background and display its ID,podman run --detach image:tag command Run command in a one-off container in interactive mode and pseudo-TTY,podman run --rm --interactive --tty image:tag command Run command in a new container with passed environment variables,podman run --env 'variable=value' --env variable image:tag command Run command in a new container with bind mounted volumes,podman run --volume /path/to/host_path:/path/to/container_path image:tag command Run command in a new container with published ports,podman run --publish host_port:container_port image:tag command Run command in a new container overwriting the entrypoint of the image,podman run --entrypoint command image:tag Run command in a new container connecting it to a network,podman run --network network image:tag Compile and render a D2 source file into an output file,d2 path/to/input_file.d2 path/to/output_file.ext [w]atch live changes made to a D2 source file in the default web browser,d2 --watch path/to/input_file.d2 path/to/output_file.ext Format a D2 source file,d2 fmt path/to/input_file.d2 List available themes,d2 themes Use a different [t]heme for the output file (list available themes first to get the desired `theme_id`),d2 --theme theme_id path/to/input_file.d2 path/to/output_file.ext Make rendered diagrams look like hand [s]ketches,d2 --sketch true path/to/input_file.d2 path/to/output_file.ext "Create a vector dataset with contour lines spread over an 100-meter [i]nterval while [a]ttributing the elevation property as ""ele""",gdal_contour -a ele -i 100.0 path/to/input.tif path/to/output.gpkg Create a vector dataset with [p]olygons spread over an 100-meter [i]nterval,gdal_contour -i 100.0 -p path/to/input.tif path/to/output.gpkg Print directories only,tre --directories Print JSON containing files in the tree hierarchy instead of the normal tree diagram,tre --json Print files and directories up to the specified depth limit (where 1 means the current directory),tre --limit depth Print all hidden files and directories using the specified colorization mode,tre --all --color automatic|always|never "Print files within the tree hierarchy, assigning a shell alias to each file that, when called, will open the associated file using the provided `command` (or in `$EDITOR` by default)",tre --editor command "Print files within the tree hierarchy, excluding all paths that match the provided regular expression",tre --exclude regular_expression Display version,tre --version Display help,tre --help Convert the given assembly code to `bytes`,"pwn asm ""xor edi, edi""" Create a cyclic pattern of the specific number of characters,pwn cyclic number Encode the given data into the hexadecimal system,pwn hex deafbeef Decode the given data from hexadecimal,pwn unhex 6c4f7645 Print a x64 Linux shellcode for running a shell,pwn shellcraft amd64.linux.sh Check the binary security settings for the given ELF file,pwn checksec path/to/file Check for Pwntools updates,pwn update Display version,pwn version List running apps,dokku apps Create an app,dokku apps:create app_name Remove an app,dokku apps:destroy app_name Install plugin,dokku plugin:install full_repo_url Link database to an app,dokku db:link db_name app_name Compress a file,ect path/to/file.png "Compress a file with specified compression level and multithreading (1=Fastest (Worst), 9=Slowest (Best), default is 3)",ect -9 --mt-deflate path/to/file.zip Compress all files in a directory recursively,ect -recurse path/to/directory "Compress a file, keeping the original modification time",ect -keep path/to/file.png "Compress a file, stripping metadata",ect -strip path/to/file.png Run in interactive mode,units List all units containing a specific string in interactive mode,search string Show the conversion between two simple units,units quarts tablespoons Convert between units with quantities,"units ""15 pounds"" kilograms" Show the conversion between two compound units,"units ""meters / second"" ""inches / hour""" Show the conversion between units with different dimensions,"units ""acres"" ""ft^2""" Show the conversion of byte multipliers,"units ""15 megabytes"" bytes" Replace all `apple` (basic regex) occurrences with `mango` (basic regex) in all input lines and print the result to `stdout`,command | sed 's/apple/mango/g' Execute a specific script [f]ile and print the result to `stdout`,command | sed -f path/to/script.sed Print just a first line to `stdout`,command | sed -n '1p' Deduplicate packages in `node_modules`,npm dedupe Follow `package-lock.json` or `npm-shrinkwrap.json` during deduplication,npm dedupe --lock Run deduplication in strict mode,npm dedupe --strict Skip optional/peer dependencies during deduplication,npm dedupe --omit=optional|peer Enable detailed logging for troubleshooting,npm dedupe --loglevel=verbose Limit deduplication to a specific package,npm dedupe package_name Launch Firefox and open a web page,firefox https://www.duckduckgo.com Open a new window,firefox --new-window https://www.duckduckgo.com Open a private (incognito) window,firefox --private-window "Search for ""wikipedia"" using the default search engine","firefox --search ""wikipedia""" "Launch Firefox in safe mode, with all extensions disabled",firefox --safe-mode Take a screenshot of a web page in headless mode,firefox --headless --screenshot path/to/output_file.png https://example.com/ Use a specific profile to allow multiple separate instances of Firefox to run at once,firefox --profile path/to/directory https://example.com/ Set Firefox as the default browser,firefox --setDefaultBrowser "Pull from the ""default"" source path",hg pull Pull from a specified source repository,hg pull path/to/source_repository Update the local repository to the head of the remote,hg pull --update Pull changes even when the remote repository is unrelated,hg pull --force Specify a specific revision changeset to pull up to,hg pull --rev revision Specify a specific branch to pull,hg pull --branch branch Specify a specific bookmark to pull,hg pull --bookmark bookmark Show the default paper size used by all TeX Live programs,tlmgr paper Set the default paper size for all TeX Live programs to A4,sudo tlmgr paper a4 Show the default paper size used by a specific TeX Live program,tlmgr pdftex paper Set the default paper size for a specific TeX Live program to A4,sudo tlmgr pdftex paper a4 List all available paper sizes for a specific TeX Live program,tlmgr pdftex paper --list Dump the default paper size used by all TeX Live programs in JSON format,tlmgr paper --json Process a `grap` file and save the output file for future processing with `pic` and `groff`,grap path/to/input.grap > path/to/output.pic "Typeset a `grap` file to PDF using the [me] macro package, saving the output to a file",grap path/to/input.grap | pic -T pdf | groff -me -T pdf > path/to/output.pdf Generate a new public/private key pair,light-arionum-cli Display the balance of the current address,light-arionum-cli balance Display the balance of the specified address,light-arionum-cli balance address Send a transaction with an optional message,light-arionum-cli send address value optional_message Export the current wallet information,light-arionum-cli export Display information about the current block,light-arionum-cli block Display information about the current address' transactions,light-arionum-cli transactions Display information about a specific transaction,light-arionum-cli transaction transaction_id List all video devices,v4l2-ctl --list-devices List supported video formats and resolutions of default video device `/dev/video0`,v4l2-ctl --list-formats-ext List supported video formats and resolutions of a specific video device,v4l2-ctl --list-formats-ext --device path/to/video_device Get all details of a video device,v4l2-ctl --all --device path/to/video_device Capture a JPEG photo with a specific resolution from video device,"v4l2-ctl --device path/to/video_device --set-fmt-video=width=width,height=height,pixelformat=MJPG --stream-mmap --stream-to=path/to/output.jpg --stream-count=1" Capture a raw video stream from video device,"v4l2-ctl --device path/to/video_device --set-fmt-video=width=width,height=height,pixelformat=format --stream-mmap --stream-to=path/to/output --stream-count=number_of_frames_to_capture" List all video device's controls and their values,v4l2-ctl --list-ctrls --device path/to/video_device Set the value of a video device control,v4l2-ctl --device path/to/video_device --set-ctrl=control_name=value Generate an RSA private key of 2048 bits to `stdout`,openssl genrsa Save an RSA private key of an arbitrary number of bits to the output file,openssl genrsa -out output_file.key 1234 Generate an RSA private key and encrypt it with AES256 (you will be prompted for a passphrase),openssl genrsa -aes256 Fetch dependencies specified in `Cargo.lock` (for all targets),cargo fetch Fetch dependencies for the specified target,cargo fetch --target target_triple View documentation for `cgclassify`,tldr cgclassify View documentation for `cgcreate`,tldr cgcreate View documentation for `cgexec`,tldr cgexec "Search for extended regular expressions (supporting `?`, `+`, `{}`, `()` and `|`) in a compressed file (case-sensitive)","bzegrep ""search_pattern"" path/to/file" "Search for extended regular expressions (supporting `?`, `+`, `{}`, `()` and `|`) in a compressed file (case-insensitive)","bzegrep --ignore-case ""search_pattern"" path/to/file" Search for lines that do not match a pattern,"bzegrep --invert-match ""search_pattern"" path/to/file" Print file name and line number for each match,"bzegrep --with-filename --line-number ""search_pattern"" path/to/file" "Search for lines matching a pattern, printing only the matched text","bzegrep --only-matching ""search_pattern"" path/to/file" Recursively search files in a bzip2 compressed tar archive for a pattern,"bzegrep --recursive ""search_pattern"" path/to/file" Push local image to remote registry,crane push path/to/tarball image_name Path to file with list of published image references,crane push path/to/tarball image_name --image-refs path/to/filename Push a collection of images as a single index (required if path has multiple images),crane push path/to/tarball image_name --index Display help,crane push -h|--help Generate the PPM image as output for an Atari Neochrome NEO file as input,neotoppm path/to/file.neo Display version,neotoppm -version Launch colorpicker and print the hexadecimal and RGB value of each clicked pixel to `stdout`,colorpicker Only print the color of one clicked pixel and then exit,colorpicker --one-shot Print the color of each clicked pixel and quit when a key is pressed,colorpicker --quit-on-keypress Only print the RGB value,colorpicker --rgb Only print the hexadecimal value,colorpicker --hex Delete a specific pod,kubectl delete pod pod_name Delete a specific deployment,kubectl delete deployment deployment_name Delete a specific node,kubectl delete node node_name Delete all pods in a specified namespace,kubectl delete pods --all --namespace namespace Delete all deployments and services in a specified namespace,"kubectl delete deployments,services --all --namespace namespace" Delete all nodes,kubectl delete nodes --all Delete resources defined in a YAML manifest,kubectl delete --filename path/to/manifest.yaml Show the difference between traceroutes in two `warts` files,sc_tracediff path/to/file1.warts path/to/file2.warts "Show the difference between the traceroutes in two `warts` files, including those that have not changed",sc_tracediff -a path/to/file1.warts path/to/file2.warts Show the difference between the traceroutes in two `warts` files and try to show DNS names and not IP addresses if possible,sc_tracediff -n path/to/file1.warts path/to/file2.warts Start i7z (needs to be run in superuser mode),sudo i7z Save the current screen layout,autorandr --save profile_name Show the saved profiles,autorandr Load the first detected profile,autorandr --change Load a specific profile,autorandr --load profile_name Set the default profile,autorandr --default profile_name "Collect garbage, i.e. remove unused paths to reduce space usage",nix store gc Hard-link identical files together to reduce space usage,nix store optimise Delete a specific store path (most be unused),nix store delete /nix/store/... "List a contents of the store path, on a remote store",nix store --store https://cache.nixos.org ls /nix/store/... "Show the differences in versions between two store paths, with their respective dependencies",nix store diff-closures /nix/store/... /nix/store/... Update the package database,sudo pacman --files --refresh Find the package that owns a specific file,pacman --files filename "Find the package that owns a specific file, using a regular expression",pacman --files --regex 'regular_expression' List only the package names,pacman --files --quiet filename List the files owned by a specific package,pacman --files --list package Display help,pacman --files --help Execute `crane auth` subcommand,crane auth subcommand Implement credential helper,crane auth get registry_address -h|--help Log in to a registry,crane auth login registry_address -h|--help -p|--password password -password-stdin -u|--username username Log out of a registry,crane auth logout registry_address -h|--help Retrieve a token for a remote repository,crane auth token registry_address -H|--header -h|--help -m|--mount scope1 scope2 ... --push Display help,crane auth -h|--help "Transform a raw HTML file into a cleaned, indented, and colored format",cat index.html | pup --color Filter HTML by element tag name,cat index.html | pup 'tag' Filter HTML by ID,cat index.html | pup 'div#id' Filter HTML by attribute value,"cat index.html | pup 'input[type=""text""]'" Print all text from the filtered HTML elements and their children,cat index.html | pup 'div text{}' Print HTML as JSON,cat index.html | pup 'div json{}' Enable profile,sudo aa-enforce --dir path/to/profile Enable profiles,sudo aa-enforce path/to/profile1 path/to/profile2 ... Start an interactive session,dc Execute a script,dc path/to/script.dc Calculate an expression with the specified scale,dc --expression='10 k 5 3 / p' "Calculate 4 times 5 (4 5 *), subtract 17 (17 -), and [p]rint the output",dc --expression='4 5 * 17 - p' "Specify the number of decimal places to 7 (7 k), calculate 5 divided by -3 (5 _3 /) and [p]rint",dc --expression='7 k 5 _3 / p' "Calculate the golden ratio, phi: set number of decimal places to 100 (100 k), square root of 5 (5 v) plus 1 (1 +), divided by 2 (2 /), and [p]rint result",dc --expression='100 k 5 v 1 + 2 / p' Start `bspwm` (note that a pre-existing window manager must not be open when this command is run),bspwm -c path/to/config Associate the local install of `asciinema` with an asciinema.org account,asciinema auth "Make a new recording (finish it with `Ctrl+D` or type `exit`, and then choose to upload it or save it locally)",asciinema rec Make a new recording and save it to a local file,asciinema rec path/to/recording.cast Replay a terminal recording from a local file,asciinema play path/to/recording.cast Replay a terminal recording hosted on ,asciinema play https://asciinema.org/a/cast_id "Make a new recording, limiting any idle time to at most 2.5 seconds",asciinema rec -i|--idle-time-limit 2.5 Print the full output of a locally saved recording,asciinema cat path/to/recording.cast Upload a locally saved terminal session to asciinema.org,asciinema upload path/to/recording.cast Install a package and its dependencies,sudo tlmgr install package Reinstall a package,sudo tlmgr install --reinstall package Simulate installing a package without making any changes,tlmgr install --dry-run package Install a package without its dependencies,sudo tlmgr install --no-depends package Install a package from a specific file,sudo tlmgr install --file path/to/package Update repository indexes from all remote repositories,apk update Install a new package,apk add package Remove a package,apk del package Repair a package or upgrade it without modifying main dependencies,apk fix package Search for a package via keywords,apk search keywords Display information about a specific package,apk info package Remove a file with sensitive data but leave the latest commit untouched,bfg --delete-files file_with_sensitive_data Remove all text mentioned in the specified file wherever it can be found in the repository's history,bfg --replace-text path/to/file.txt Delete personal repo on GitHub,hub delete repo Register `gcloud` as a Docker credential helper,gcloud auth configure-docker Create a cluster to run GKE containers,gcloud container clusters create cluster_name List clusters for running GKE containers,gcloud container clusters list Update kubeconfig to get `kubectl` to use a GKE cluster,gcloud container clusters get-credentials cluster_name List tag and digest metadata for a container image,gcloud container images list-tags image Describe an existing cluster for running containers,gcloud container clusters describe cluster_name Display information about a project,Projucer --status path/to/project_file Resave all files and resources in a project,Projucer --resave path/to/project_file Update the version number in a project,Projucer --set-version version_number path/to/project_file Generate a JUCE project from a PIP file,Projucer --create-project-from-pip path/to/PIP path/to/output "Remove all JUCE-style comments (`//=====`, `//-----` or `///////`)",Projucer --tidy-divider-comments path/to/target_folder Display help,Projucer --help Compare two files,diffoscope path/to/file1 path/to/file2 Compare two files without displaying a progress bar,diffoscope --no-progress path/to/file1 path/to/file2 Compare two files and write an HTML-report to a file (use `-` for `stdout`),diffoscope --html path/to/outfile|- path/to/file1 path/to/file2 Compare two directories excluding files with a name matching a specified pattern,diffoscope --exclude pattern path/to/directory1 path/to/directory2 Compare two directories and control whether directory metadata is considered,diffoscope --exclude-directory-metadata auto|yes|no|recursive path/to/directory1 path/to/directory2 Create a list,clido --new name Load a list,clido --load name Delete a list,clido --remove name List all lists,clido --lists Toggle autowrite,clido toggle-autowrite Open a list in a text editor,clido edit text_editor Display help,clido -h Display version,clido -v Start the daemon,pppd Start `ghcid` and monitor a Haskell file for changes,ghcid path/to/Main.hs "Start `ghcid` with a specific command, such as loading a Stack or Cabal project","ghcid --command ""stack ghci Main.hs""" Run an action (default `main`) on each file save,ghcid --run=action path/to/Main.hs Set maximum height and width (default to console height and width),ghcid --height=height --width=width path/to/Main.hs Write full GHC compiler output to a file,ghcid --outputfile=path/to/output_file.txt path/to/Main.hs Execute REPL commands (eg. `-- $> 1+1`) on each file save,ghcid --allow-eval path/to/Main.hs "If specified with onboot, this fixfiles will record the current date in the `/.autorelabel` file, so that it can be used later to speed up labeling. If used with restore, the restore will only affect files that were modified today",fixfiles -B [F]orce reset of context to match `file_context` for customizable files,fixfiles -F Clear `/tmp` directory without confirmation,fixfiles -f Use the [R]pm database to discover all files within specific packages and restore the file contexts,"fixfiles -R rpm_package1,rpm_package2 ..." "Run a diff on the `PREVIOUS_FILECONTEXT` file to the [C]urrently installed one, and restore the context of all affected files",fixfiles -C PREVIOUS_FILECONTEXT Only act on files created after a specific date which will be passed to find `--newermt` command,fixfiles -N YYYY-MM-DD HH:MM "Bind [M]ount filesystems before relabeling them, this allows fixing the context of files or directories that have been mounted over",fixfiles -M Modify [v]erbosity from progress to verbose and run `restorecon` with `-v` instead of `-p`,fixfiles -v Change the Node.js install location to a specified directory (`ps-nvm` will create a new `.nvm` subdirectory to install them),Set-NodeInstallLocation path/to/directory Sign a JAR file,jarsigner path/to/file.jar keystore_alias Sign a JAR file with a specific algorithm,jarsigner -sigalg algorithm path/to/file.jar keystore_alias Verify the signature of a JAR file,jarsigner -verify path/to/file.jar Start a machine as a service using `systemd-nspawn`,sudo machinectl start machine_name Stop a running machine,sudo machinectl stop machine_name Display a list of running machines,machinectl list Open an interactive shell inside the machine,sudo machinectl shell machine_name Start a Tetris game,yetris Navigate the piece horizontally,Left|Right arrow key Rotate the piece clockwise or counterclockwise,x|z Hold a piece (only one allowed at a time),c Soft drop the piece, Hard drop the piece, Pause/unpause the game,p Quit the game,q Mount an OS image,mount.ddi path/to/image.raw /mnt/image Create the global configuration directory,terminalizer init Record the terminal and create a recording file,terminalizer record path/to/recording.gif Play a recorded file on the terminal,terminalizer play path/to/recording.gif Render a recording file as an animated GIF image,terminalizer render path/to/recording.gif Upload a video to ,terminalizer share path/to/recording.gif "Create a black window with display ID "":2""",Xephyr -br -ac -noreset -screen 800x600 :2 Start an X application on the new screen,DISPLAY=:2 command_name Display details about the current user,pinky Display details for a specific user,pinky user Display details in the long format,pinky user -l Omit the user's home directory and shell in long format,pinky user -lb Omit the user's project file in long format,pinky user -lh Omit the column headings in short format,pinky user -f Search for an exact string in a file,fgrep search_string path/to/file Search only lines that match entirely in one or more files,fgrep -x search_string path/to/file1 path/to/file2 ... Count the number of lines that match the given string in a file,fgrep -c search_string path/to/file Show the line number in the file along with the line matched,fgrep -n search_string path/to/file Display all lines except those that contain the search string,fgrep -v search_string path/to/file Display filenames whose content matches the search string at least once,fgrep -l search_string path/to/file1 path/to/file2 ... Print the workspace members and resolved dependencies of the current package,cargo metadata Print only the workspace members and do not fetch dependencies,cargo metadata --no-deps Print metadata in a specific format based on the specified version,cargo metadata --format-version version Print metadata with the `resolve` field including dependencies only for the given target triple (Note: the `packages` array will still include the dependencies for all targets),cargo metadata --filter-platform target_triple Show information about a particular virtual machine,VBoxManage showvminfo vm_name|uuid Show more detailed information about a particular virtual machine,VBoxManage showvminfo --details vm_name|uuid Show information in a machine readable format,VBoxManage showvminfo --machinereadable vm_name|uuid Specify password ID if the virtual machine is encrypted,VBoxManage showvminfo --password-id password_id vm_name|uuid Specify the password file if the virtual machine is encrypted,VBoxManage showvminfo --password path/to/password_file vm_name|uuid Show the logs of a specific virtual machine,VBoxManage showvminfo --log vm_name|uuid Show details of pods in a [n]amespace,kubectl describe pods --namespace namespace Show details of nodes in a [n]amespace,kubectl describe nodes --namespace namespace Show the details of a specific pod in a [n]amespace,kubectl describe pods pod_name --namespace namespace Show the details of a specific node in a [n]amespace,kubectl describe nodes node_name --namespace namespace Show details of Kubernetes objects defined in a YAML manifest [f]ile,kubectl describe --file path/to/manifest.yaml List all available project targets,pio run --list-targets List all available project targets of a specific environment,pio run --list-targets --environment environment Run all targets,pio run Run all targets of specified environments,pio run --environment environment1 --environment environment2 Run specified targets,pio run --target target1 --target target2 Run the targets of a specified configuration file,pio run --project-conf path/to/platformio.ini Disable the swap file,dphys-swapfile swapoff Enable the swap file,dphys-swapfile swapon Create a new swap file,dphys-swapfile setup Jump to a directory that contains the given pattern,j pattern Jump to a sub-directory (child) of the current directory that contains the given pattern,jc pattern Open a directory that contains the given pattern in the operating system file manager,jo pattern Remove non-existing directories from the autojump database,j --purge Show the entries in the autojump database,j -s Send a WoL packet to a device,wol mac_address Send a WoL packet to a device in another subnet based on its IP,wol --ipaddr=ip_address mac_address Send a WoL packet to a device in another subnet based on its hostname,wol --host=hostname mac_address Send a WoL packet to a specific port on a host,wol --port=port_number mac_address "Read hardware addresses, IP addresses/hostnames, optional ports and SecureON passwords from a file",wol --file=path/to/file Turn on verbose output,wol --verbose mac_address Show kernel messages,sudo dmesg Show kernel error messages,sudo dmesg --level err "Show kernel messages and keep reading new ones, similar to `tail -f` (available in kernels 3.5.0 and newer)",sudo dmesg -w Show how much physical memory is available on this system,sudo dmesg | grep -i memory Show kernel messages 1 page at a time,sudo dmesg | less Show kernel messages with a timestamp (available in kernels 3.5.0 and newer),sudo dmesg -T Show kernel messages in human-readable form (available in kernels 3.5.0 and newer),sudo dmesg -H Colorize output (available in kernels 3.5.0 and newer),sudo dmesg -L View documentation for the original command,tldr nmtui Search a disk for bad blocks by using a non-destructive read-only test,sudo badblocks /dev/sdX Search an unmounted disk for bad blocks with a [n]on-destructive read-write test,sudo badblocks -n /dev/sdX Search an unmounted disk for bad blocks with a destructive [w]rite test,sudo badblocks -w /dev/sdX Use the destructive [w]rite test and [s]how [v]erbose progress,sudo badblocks -svw /dev/sdX "In destructive mode, [o]utput found blocks to a file",sudo badblocks -o path/to/file -w /dev/sdX Use the destructive mode with improved speed using 4K [b]lock size and 64K block [c]ount,sudo badblocks -w -b 4096 -c 65536 /dev/sdX Run a flow check,flow Check which files are being checked by flow,flow ls Run a type coverage check on all files in a directory,flow batch-coverage --show-all --strip-root path/to/directory Display line-by-line type coverage stats,flow coverage --color path/to/file.jsx Start a REPL (interactive shell),guile Execute the script in a given Scheme file,guile script.scm Execute a Scheme expression,"guile -c ""expression""" Listen on a port or a Unix domain socket (the default is port 37146) for remote REPL connections,guile --listen=port_or_socket Convert a PBM image to a BitGraph terminal Display Pixel Data sequence,pbmtobbnbg < path/to/image.pbm > path/to/output.dpd Specify the rasterop,pbmtobbnbg 3 < path/to/image.pbm > path/to/output.dpd Show the progress of running coreutils,progress Show the progress of running coreutils in quiet mode,progress -q Launch and monitor a single long-running command,command & progress --monitor --pid $! Include an estimate of time remaining for completion,progress --wait --command firefox Ping a Bluetooth device,sudo l2ping mac_address Reverse ping a Bluetooth device,sudo l2ping -r mac_address Ping a Bluetooth device from a specified interface,sudo l2ping -i hci0 mac_address Ping Bluetooth device with a specified sized data package,sudo l2ping -s byte_count mac_address Ping flood a Bluetooth device,sudo l2ping -f mac_address Ping a Bluetooth device a specified amount of times,sudo l2ping -c amount mac_address Ping a Bluetooth device with a specified delay between requests,sudo l2ping -d seconds mac_address Execute a Fossil subcommand,fossil subcommand Display help,fossil help "Display help for a specific subcommand (like `add`, `commit`, etc.)",fossil help subcommand Display version,fossil version "Start Redis server, using the default port (6379), and write logs to `stdout`",redis-server "Start Redis server, using the default port, as a background process",redis-server --daemonize yes "Start Redis server, using the specified port, as a background process",redis-server --port port --daemonize yes Start Redis server with a custom configuration file,redis-server path/to/redis.conf Start Redis server with verbose logging,redis-server --loglevel warning|notice|verbose|debug Extract a specific archive into the current directory,ark --batch path/to/archive Extract an archive into a specific directory,ark --batch --destination path/to/directory path/to/archive Create an archive if it does not exist and add specific files to it,ark --add-to path/to/archive path/to/file1 path/to/file2 ... Reboot the system,reboot Power off the system (same as `poweroff`),reboot --poweroff Halt (terminates all processes and shuts down the CPU) the system (same as `halt`),reboot --halt Reboot immediately without contacting the system manager,reboot --force Write the wtmp shutdown entry without rebooting the system,reboot --wtmp-only Display information for the current directory,dust Display information about one or more directories,dust path/to/directory1 path/to/directory2 ... Display 30 directories (defaults to 21),dust --number-of-lines 30 "Display information for the current directory, up to 3 levels deep",dust --depth 3 Display the biggest directories at the top in descending order,dust --reverse Ignore all files and directories with a specific name,dust --ignore-directory file_or_directory_name Do not display percent bars and percentages,dust --no-percent-bars Output the traceroute of `warts` files one after the other in an easy-to-parse format,sc_analysis_dump path/to/file1.warts path/to/file2.warts ... Check current version,npm version Bump the minor version,npm version minor Set a specific version,npm version version Bump the patch version without creating a Git tag,npm version patch --no-git-tag-version Bump the major version with a custom commit message,"npm version major -m ""Upgrade to %s for reasons""" Rescan all storages and update disk sizes and unused disk images of a specific virtual machine,qm rescan vm_id Perform a dry-run of rescan on a specific virtual machine and do not write any changes to configurations,qm rescan --dryrun true vm_id Get the virtual machine configuration of a specific virtual machine,qm pending vm_id Search the AUR database for a package,aur search keyword "Download a package and its dependencies from AUR, build them and add them to a local repository",aur sync package [l]ist packages available in your local repository,aur repo --list [u]pgrade local repository packages,aur sync --upgrades Install a package without viewing changes in Vim and do not confirm dependency installation,aur sync --noview --noconfirm package Show a service's status,rc-service service_name status Start a service,sudo rc-service service_name start Stop a service,sudo rc-service service_name stop Restart a service,sudo rc-service service_name restart Simulate running a service's custom command,sudo rc-service --dry-run service_name command_name Actually run a service's custom command,sudo rc-service service_name command_name Resolve the location of a service definition on disk,sudo rc-service --resolve service_name Install a version of the Flutter SDK. Use without `version` for project settings,fvm install version Set a specific version of Flutter SDK in a project,fvm use version options Set a global version of the Flutter SDK,fvm global version Delete the FVM cache,fvm destroy Remove a specific version of the Flutter SDK,fvm remove version List all installed versions of the Flutter SDK,fvm list List all releases of the Flutter SDK,fvm releases List all available commands,pyenv commands List all Python versions under the `${PYENV_ROOT}/versions` directory,pyenv versions List all Python versions that can be installed from upstream,pyenv install --list Install a Python version under the `${PYENV_ROOT}/versions` directory,pyenv install 2.7.10 Uninstall a Python version under the `${PYENV_ROOT}/versions` directory,pyenv uninstall 2.7.10 Set Python version to be used globally in the current machine,pyenv global 2.7.10 Set Python version to be used in the current directory and all directories below it,pyenv local 2.7.10 "Run a REPL command with line editing, persistent history and prompt completion",rlwrap command Use all words seen on input and output for prompt completion,rlwrap --remember command Better prompt completion if prompts contain ANSI colour codes,rlwrap --ansi-colour-aware command Enable filename completion (case sensitive),rlwrap --complete-filenames command "Add coloured prompts, use colour name, or an ASCI-conformant colour specification. Use an uppercase colour name for bold styling",rlwrap --prompt-colour=black|red|green|yellow|blue|cyan|purple|white|colour_spec command Start `mitmweb` with default settings,mitmweb Start `mitmweb` bound to a custom address and port,mitmweb --listen-host ip_address --listen-port port Start `mitmweb` using a script to process traffic,mitmweb --scripts path/to/script.py List information about running virtual machines,virsh list List information about virtual machines regardless of state,virsh list --all List information about virtual machines with autostart either enabled or disabled,virsh list --all --autostart|no-autostart List information about virtual machines either with or without snapshots,virsh list --all --with-snapshot|without-snapshot Produce an MPEG-1 stream using the parameter file to specify inputs and outputs,ppmtompeg path/to/parameter_file Encode the GOP with the specified number only,ppmtompeg -gop gop_num path/to/parameter_file Specify the first and last frame to encode,ppmtompeg -frames first_frame last_frame path/to/parameter_file Combine multiple MPEG frames into a single MPEG-1 stream,ppmtompeg -combine_frames path/to/parameter_file View documentation for the original command,tldr pacman sync View documentation for the original command,tldr qm disk import Open qutebrowser with a specified storage directory,qutebrowser --basedir path/to/directory Open a qutebrowser instance with temporary settings,qutebrowser --set content.geolocation true|false Restore a named session of a qutebrowser instance,qutebrowser --restore session_name "Launch qutebrowser, opening all URLs using the specified method",qutebrowser --target auto|tab|tab-bg|tab-silent|tab-bg-silent|window|private-window Open qutebrowser with a temporary base directory and print logs to `stdout` as JSON,qutebrowser --temp-basedir --json-logging "Perform calculations according to an input file (`.mop`, `.dat`, and `.arc`)",mopac path/to/input_file Minimal working example with HF that writes to the current directory and streams the output file,"touch test.out; echo ""PM7\n#comment\n\nH 0.95506 0.05781 -0.03133\nF 1.89426 0.05781 -0.03133"" > test.mop; mopac test.mop & tail -f test.out" Count words in a TeX file,texcount path/to/file.tex Count words in a document and subdocuments built with `\input` or `\include`,texcount -merge file.tex "Count words in a document and subdocuments, listing each file separately (and a total count)",texcount -inc file.tex "Count words in a document and subdocuments, producing subcounts by chapter (instead of subsection)",texcount -merge -sub=chapter file.tex Count words with verbose output,texcount -v path/to/file.tex Add a torrent file or magnet to be downloaded,rtorrent torrent_or_magnet Start the download,S View details about downloading torrent,-> Close rtorrent safely,Q List trusted keys,apt-key list Add a key to the trusted keystore,apt-key add public_key_file.asc Delete a key from the trusted keystore,apt-key del key_id Add a remote key to the trusted keystore,wget -qO - https://host.tld/filename.key | apt-key add - Add a key from keyserver with only key ID,apt-key adv --keyserver pgp.mit.edu --recv KEYID Extract file contents in the current directory,ripmime -i path/to/file Extract file contents in a specific directory,ripmime -i path/to/file -d path/to/directory Extract file contents and print verbose output,ripmime -i path/to/file -v Get detailed information about the whole decoding process,ripmime -i path/to/file --debug Verify a packed Git archive file,git verify-pack path/to/pack-file Verify a packed Git archive file and show verbose details,git verify-pack --verbose path/to/pack-file Verify a packed Git archive file and only display the statistics,git verify-pack --stat-only path/to/pack-file View documentation for the original command,tldr chromium List all available and configured networks on Docker daemon,docker network ls Create a user-defined network,docker network create --driver driver_name network_name Display detailed information about one or more networks,docker network inspect network_name1 network_name2 ... Connect a container to a network using a name or ID,docker network connect network_name container_name|ID Disconnect a container from a network,docker network disconnect network_name container_name|ID Remove all unused (not referenced by any container) networks,docker network prune Remove one or more unused networks,docker network rm network_name1 network_name2 ... Initialize the configuration settings,gopass init Create a new entry,gopass new Show all stores,gopass mounts Mount a shared Git store,gopass mounts add store_name git_repo_url Search interactively using a keyword,gopass show keyword Search using a keyword,gopass find keyword Sync all mounted stores,gopass sync Show a particular password entry,gopass store_name|path/to/directory|email@email.com Display a report,cargo report future-incompatibilities|... Display a report with the specified Cargo-generated ID,cargo report future-incompatibilities|... --id id Display a report for the specified package,cargo report future-incompatibilities|... --package package Show the networking status of NetworkManager,nmcli networking Enable or disable networking and all interfaces managed by NetworkManager,nmcli networking on|off Show the last known connectivity state,nmcli networking connectivity Show the current connectivity state,nmcli networking connectivity check Change the line endings of a file,unix2mac path/to/file Create a copy with macOS-style line endings,unix2mac -n|--newfile path/to/file path/to/new_file Display file information,unix2mac -i|--info path/to/file Keep/add/remove Byte Order Mark,unix2mac --keep-bom|add-bom|remove-bom path/to/file Run a Chisel server,chisel server Run a Chisel server listening to a specific port,chisel server -p server_port Run a chisel server that accepts authenticated connections using username and password,chisel server --auth username:password Connect to a Chisel server and tunnel a specific port to a remote server and port,chisel client server_ip:server_port local_port:remote_server:remote_port Connect to a Chisel server and tunnel a specific host and port to a remote server and port,chisel client server_ip:server_port local_host:local_port:remote_server:remote_port Connect to a Chisel server using username and password authentication,chisel client --auth username:password server_ip:server_port local_port:remote_server:remote_port "Initialize a Chisel server in reverse mode on a specific port, also enabling SOCKS5 proxy (on port 1080) functionality",chisel server -p server_port --reverse --socks5 "Connect to a Chisel server at specific IP and port, creating a reverse tunnel mapped to a local SOCKS proxy",chisel client server_ip:server_port R:socks List users,aws iam list-users List policies,aws iam list-policies List groups,aws iam list-groups Get users in a group,aws iam get-group --group-name group_name Describe an IAM policy,aws iam get-policy --policy-arn arn:aws:iam::aws:policy/policy_name List access keys,aws iam list-access-keys List access keys for a specific user,aws iam list-access-keys --user-name user_name Display help,aws iam help Count all lines in a file,wc --lines path/to/file Count all words in a file,wc --words path/to/file Count all bytes in a file,wc --bytes path/to/file Count all characters in a file (taking multi-byte characters into account),wc --chars path/to/file "Count all lines, words and bytes from `stdin`",find . | wc Count the length of the longest line in number of characters,wc --max-line-length path/to/file Generate an image of a planet,ppmforge > path/to/image.ppm Generate an image of clouds or the night sky,ppmforge -night|clouds > path/to/image.ppm Use a custom mesh size and dimension for fractal generation and specify the dimensions of the output,ppmforge -mesh 512 -dimension 2.5 -xsize 1000 -ysize 1000 > path/to/image.ppm Control the tilt and the angle from which the generated planet is illuminated,ppmforge -tilt -15 -hour 12 > path/to/image.ppm "Display credential information, retrieving the username and password from configuration files","echo ""url=http://example.com"" | git credential fill" Send credential information to all configured credential helpers to store for later use,"echo ""url=http://example.com"" | git credential approve" Erase the specified credential information from all the configured credential helpers,"echo ""url=http://example.com"" | git credential reject" Set the system to run a graphical environment,sudo init 5 Set the system to run multiuser terminal,sudo init 3 Shut down the system,init 0 Reboot the system,init 6 Set the system to run on terminal with only root user allowed and no networking,sudo init 1 Read the OTP private key,rpi-otp-private-key "Create a new environment named `py39`, and install Python 3.9 and NumPy v1.11 or above in it","conda create --yes --name py39 python=3.9 ""numpy>=1.11""" Make exact copy of an environment,conda create --clone py39 --name py39-copy Create a new environment with a specified name and install a given package,conda create --name env_name package Convert a PDF page to PNG or JPEG format,pstoedit -page page_number -f magick path/to/file.pdf page.png|page.jpg] Convert multiple PDF pages to numbered images,pstoedit -f magick path/to/file page%d.png|page%d.jpg Match a variable against string literals to decide which command to run,case $tocount in words) wc -w README; ;; lines) wc -l README; ;; esac "Combine patterns with |, use * as a fallback pattern","case $tocount in [wW]|words) wc -w README; ;; [lL]|lines) wc -l README; ;; *) echo ""what?""; ;; esac" Run a speed test,speedtest-cli "Run a speed test and display values in bytes, instead of bits",speedtest-cli --bytes "Run a speed test using `HTTPS`, instead of `HTTP`",speedtest-cli --secure Run a speed test without performing download tests,speedtest-cli --no-download Run a speed test and generate an image of the results,speedtest-cli --share "List all `speedtest.net` servers, sorted by distance",speedtest-cli --list Run a speed test to a specific speedtest.net server,speedtest-cli --server server_id Run a speed test and display the results as JSON (suppresses progress information),speedtest-cli --json Run a script,npm run script_name Pass arguments to a script,npm run script_name -- argument --option Run a script named `start`,npm start Run a script named `stop`,npm stop Run a script named `restart`,npm restart Run a script named `test`,npm test Create a pull request for a project on GitHub,git pull-request target_branch Connect using the specified username or `$USER` by default (you will be prompted for a password),mount.cifs -o user=username //server/share_name mountpoint Connect as the guest user (without a password),mount.cifs -o guest //server/share_name mountpoint Set ownership information for the mounted directory,"mount.cifs -o uid=user_id|username,gid=group_id|groupname //server/share_name mountpoint" Convert a Slim file to HTML,slimrb input.slim output.html Convert a Slim file and output to prettified HTML,slimrb --pretty input.slim output.html Convert a Slim file to ERB,slimrb --erb input.slim output.erb Highlight file syntax and print to `stdout` (language is inferred from the file extension),pygmentize file.py Explicitly set the language for syntax highlighting,pygmentize -l javascript input_file List available lexers (processors for input languages),pygmentize -L lexers Save output to a file in HTML format,pygmentize -f html -o output_file.html input_file.py List available output formats,pygmentize -L formatters "Output an HTML file, with additional formatter options (full page, with line numbers)","pygmentize -f html -O ""full,linenos=True"" -o output_file.html input_file" Page through a `gzip` compressed file with `less`,zless file.txt.gz Print the source and destination IP addresses of all packets in a PCAP file,ipsumdump --src --dst path/to/file.pcap "Print the timestamps, source address, source port, destination address, destination port and protocol of all packets read from a given network interface",ipsumdump --interface eth0 -tsSdDp "Print the anonymised source address, anonymised destination address, and IP packet length of all packets in a PCAP file",ipsumdump --src --dst --length --anonymize path/to/file.pcap Connect to ProtonVPN interactively,protonvpn c|connect Connect to ProtonVPN using the fastest server available,protonvpn c|connect -f|--fastest Connect to ProtonVPN using a specific server with a specific protocol,protonvpn c|connect server_name -p udp|tcp Connect to ProtonVPN using a random server with a specific protocol,protonvpn c|connect -r|--random -p udp|tcp Connect to ProtonVPN using the fastest Tor-supporting server,protonvpn c|connect --tor Display help,protonvpn connect --help Run a `doctl databases maintenance-window` command with an access token,doctl databases maintenance-window command --access-token access_token Retrieve details about a database cluster's maintenance windows,doctl databases maintenance-window get database_id Update the maintenance window for a database cluster,doctl databases maintenance-window update database_id --day day_of_the_week --hour hour_in_24_hours_format "List the name, state, and whether autostart is enabled or disabled for active storage pools",virsh pool-list List information for active and inactive or just inactive storage pools,virsh pool-list --all|inactive "List extended information about persistence, capacity, allocation, and available space for active storage pools",virsh pool-list --details List information for active storage pools with either autostart enabled or disabled,virsh pool-list --autostart|no-autostart List information for active storage pools that are either persistent or transient,virsh pool-list --persistent|transient List the name and UUID of active storage pools,virsh pool-list --name --uuid Build the package or packages defined by the `Cargo.toml` manifest file in the local path,cargo build "Build artifacts in release mode, with optimizations",cargo build --release Require that `Cargo.lock` is up to date,cargo build --locked Build all packages in the workspace,cargo build --workspace Build a specific package,cargo build --package package Build only the specified binary,cargo build --bin name Build only the specified test target,cargo build --test testname Start an interactive shell of `tlmgr`,tlmgr shell Run any `tlmgr` subcommand in the interactive shell,subcommand arguments Quit the interactive shell,quit List all TeX Live variables,get Get the value of a TeX Live variable,get variable Set the value of a TeX Live variable,set variable value Restart the interactive shell,restart Display the version of the current protocol,protocol Create subvolume,sudo btrfs subvolume create path/to/subvolume List subvolumes,sudo btrfs subvolume list path/to/mount_point Show space usage information,sudo btrfs filesystem df path/to/mount_point Enable quota,sudo btrfs quota enable path/to/subvolume Show quota,sudo btrfs qgroup show path/to/subvolume Execute a Puppet subcommand,puppet subcommand Check the Puppet version,puppet --version Display help,puppet --help Display help for a subcommand,puppet help subcommand Use a specific version of Node.js in the current PowerShell session,Set-NodeVersion node_version Use the latest installed Node.js version 20.x,Set-NodeVersion ^20 Set the default Node.js version for the current user (only applies to future PowerShell sessions),Set-NodeVersion node_version -Persist User Set the default Node.js version for all users (must be run as Administrator/root and only applies to future PowerShell sessions),Set-NodeVersion node_version -Persist Machine List your events for all your calendars over the next 7 days,gcalcli agenda "Show events starting from or between specific dates (also takes relative dates e.g. ""tomorrow"")",gcalcli agenda mm/dd [mm/dd] List events from a specific calendar,gcalcli --calendar calendar_name agenda Display an ASCII calendar of events by week,gcalcli calw Display an ASCII calendar of events for a month,gcalcli calm Quick-add an event to your calendar,"gcalcli --calendar calendar_name quick ""mm/dd HH:MM event_name""" Add an event to calendar. Triggers interactive prompt,"gcalcli --calendar ""calendar_name"" add" Stream the largest media file in a torrent,"peerflix ""torrent_url|magnet_link""" List all streamable files contained in a torrent (given as a magnet link),"peerflix ""magnet:?xt=urn:btih:0123456789abcdef0123456789abcdef01234567"" --list" "Stream the largest file in a torrent, given as a torrent URL, to VLC","peerflix ""http://example.net/music.torrent"" --vlc" "Stream the largest file in a torrent to MPlayer, with subtitles","peerflix ""torrent_url|magnet_link"" --mplayer --subtitles subtitle-file.srt" Stream all files from a torrent to Airplay,"peerflix ""torrent_url|magnet_link"" --all --airplay" Create a resource using the resource definition file,kubectl create -f path/to/file.yml Create a resource from `stdin`,kubectl create -f - Create a deployment,kubectl create deployment deployment_name --image=image Create a deployment with replicas,kubectl create deployment deployment_name --image=image --replicas=number_of_replicas Create a service,kubectl create service service_type service_name --tcp=port:target_port Create a namespace,kubectl create namespace namespace_name Issue a certificate using webroot mode,acme.sh --issue --domain example.com --webroot /path/to/webroot Issue a certificate for multiple domains using standalone mode using port 80,acme.sh --issue --standalone --domain example.com --domain www.example.com Issue a certificate using standalone TLS mode using port 443,acme.sh --issue --alpn --domain example.com Issue a certificate using a working Nginx configuration,acme.sh --issue --nginx --domain example.com Issue a certificate using a working Apache configuration,acme.sh --issue --apache --domain example.com Issue a wildcard (\*) certificate using an automatic DNS API mode,acme.sh --issue --dns dns_cf --domain *.example.com Install certificate files into the specified locations (useful for automatic certificate renewal),"acme.sh --install-cert -d example.com --key-file /path/to/example.com.key --fullchain-file /path/to/example.com.cer --reloadcmd ""systemctl force-reload nginx""" Create a block device,sudo mknod path/to/device_file b major_device_number minor_device_number Create a character device,sudo mknod path/to/device_file c major_device_number minor_device_number Create a FIFO (queue) device,sudo mknod path/to/device_file p Create a device file with default SELinux security context,sudo mknod -Z path/to/device_file type major_device_number minor_device_number Start PHP's built-in web server for the current Yii application,php yii serve "Generate a controller, views and related files for the CRUD actions on the specified model class",php yii gii/crud --modelClass=ModelName --controllerClass=ControllerName Display help,php yii help List available commands,robo list Run a specific command,robo foo Simulate running a specific command,robo --simulate foo Enable an application over USB or NFC (`--enable` can be used multiple times to specify more applications),ykman config usb|nfc --enable otp|u2f|fido2|oath|piv|openpgp|hsmauth Disable an application over USB or NFC (`--disable` can be used multiple times to specify more applications),ykman config usb|nfc --disable otp|u2f|fido2|oath|piv|openpgp|hsmauth Disable all applications over NFC,ykman config nfc --disable-all Refresh database content,sudo updatedb Display file names as soon as they are found,sudo updatedb --verbose Execute all benchmarks of a package,cargo bench Don't stop when a benchmark fails,cargo bench --no-fail-fast "Compile, but don’t run benchmarks",cargo bench --no-run Benchmark the specified benchmark,cargo bench --bench benchmark Benchmark with the given profile (default: `bench`),cargo bench --profile profile Benchmark all example targets,cargo bench --examples Benchmark all binary targets,cargo bench --bins Benchmark the package’s library,cargo bench --lib Flood the network with IP requests,dhcpwn --interface network_interface flood --count number_of_requests Sniff local DHCP traffic,dhcpwn --interface network_interface sniff Print a file to the default printer,lpr path/to/file Print 2 copies,lpr -# 2 path/to/file Print to a named printer,lpr -P printer path/to/file Print either a single page (e.g. 2) or a range of pages (e.g. 2–16),lpr -o page-ranges=2|2-16 path/to/file Print double-sided either in portrait (long) or in landscape (short),lpr -o sides=two-sided-long-edge|two-sided-short-edge path/to/file Set page size (more options may be available depending on setup),lpr -o media=a4|letter|legal path/to/file Print multiple pages per sheet,lpr -o number-up=2|4|6|9|16 path/to/file Run MediaMTX,mediamtx Run MediaMTX with a custom configuration location,mediamtx path/to/config.yml Start MediaMTX as a daemon,systemctl start mediamtx Remove an alias,unalias alias_name Remove all aliases,unalias -a List your Support Tickets,linode-cli tickets list Open a new Ticket,"linode-cli tickets create --summary ""Summary or quick title for the Ticket"" --description ""Detailed description of the issue""" List replies to a Ticket,linode-cli tickets replies ticket_id Reply to a specific Ticket,"linode-cli tickets reply ticket_id --description ""The content of your reply""" Initialize a serverless application,sam init Initialize a serverless application with a specific runtime,sam init --runtime python3.7 Package a SAM application,sam package Build your Lambda function code,sam build Run your serverless application locally,sam local start-api Deploy an AWS SAM application,sam deploy Change the owner group of a file/directory,chgrp group path/to/file_or_directory Recursively change the owner group of a directory and its contents,chgrp -R group path/to/directory Change the owner group of a symbolic link,chgrp -h group path/to/symlink Change the owner group of a file/directory to match a reference file,chgrp --reference path/to/reference_file path/to/file_or_directory Overwrite free space and inodes of a disk with 38 writes (slow but secure),sfill /path/to/mounted_disk_directory Overwrite free space and inodes of a disk with 6 writes (fast but less secure) and show status,sfill -l -v /path/to/mounted_disk_directory Overwrite free space and inodes of a disk with 1 write (very fast but insecure) and show status,sfill -ll -v /path/to/mounted_disk_directory Overwrite only free space of a disk,sfill -I /path/to/mounted_disk_directory Overwrite only free inodes of a disk,sfill -i /path/to/mounted_disk_directory Display basic performance counter stats for a command,perf stat gcc hello.c Display system-wide real-time performance counter profile,sudo perf top Run a command and record its profile into `perf.data`,sudo perf record command Record the profile of an existing process into `perf.data`,sudo perf record -p pid Read `perf.data` (created by `perf record`) and display the profile,sudo perf report Stop a Distrobox container,distrobox-stop container_name Stop a Distrobox container non-interactively (without confirmation),distrobox-stop --name container_name --yes "Import new transactions from `bank.csv`, using `bank.csv.rules` to convert",hledger import path/to/bank.csv "Show what would be imported from these two files, without doing anything",hledger import path/to/bank1.csv path/to/bank2.csv --dry-run "Import new transactions from all CSV files, using the same rules for all",hledger import --rules-file common.rules *.csv Show conversion errors or results while editing `bank.csv.rules`,watchexec -- hledger -f path/to/bank.csv print "Mark `bank.csv`'s current data as seen, as if already imported",hledger import --catchup path/to/bank.csv "Mark `bank.csv` as all new, as if not yet imported",rm -f .latest.bank.csv Generate ASCII art for a given text,toilet input_text Generate ASCII art using a custom font file,toilet input_text -f font_filename Generate ASCII art using a filter,toilet input_text --filter filter_name Show available toilet filters,toilet --filter list Start a server on the default port (12865) and fork to background,netserver Start server in foreground and do not fork,netserver -D Specify [p]ort,netserver -p port Force IPv[4] or IPv[6],netserver -4|6 List all aliases,alias Create a generic alias,"alias word=""command""" View the command associated to a given alias,alias word Remove an aliased command,unalias word Turn `rm` into an interactive command,"alias rm=""rm --interactive""" Create `la` as a shortcut for `ls --all`,"alias la=""ls --all""" Connect to an FTP server,lftp --user username ftp.example.com Download multiple files (glob expression),mget path/to/*.png Upload multiple files (glob expression),mput path/to/*.zip Delete multiple files on the remote server,mrm path/to/*.txt Rename a file on the remote server,mv original_filename new_filename Download or update an entire directory,mirror path/to/remote_dir path/to/local_output_dir Upload or update an entire directory,mirror -R path/to/local_dir path/to/remote_output_dir Create a `bcachefs` filesystem inside partition 1 on a device (`X`),sudo mkfs.bcachefs /dev/sdX1 Create a `bcachefs` filesystem with a volume label,sudo mkfs.bcachefs -L|--fs_label volume_label /dev/sdX1 Create a table with partition and lifecycle,create table table_name (col type) partitioned by (col type) lifecycle days; Create a table based on the definition of another table,create table table_name like another_table; Add partition to a table,alter table table_name add partition (partition_spec); Delete partition from a table,alter table table_name drop partition (partition_spec); Delete table,drop table table_name; List enrolled fingerprints for a specific user,fprintd-list username List enrolled fingerprints for one or more users,fprintd-list username1 username2 ... Display help,fprintd-list Sign 'unsigned.layout' with two keys and write it to 'root.layout',in-toto-sign -f unsigned.layout -k priv_key1 priv_key2 -o root.layout Replace signature in link file and write to default filename,in-toto-sign -f package.2f89b927.link -k priv_key Verify a layout signed with 3 keys,in-toto-sign -f root.layout -k pub_key0 pub_key1 pub_key2 --verify Sign a layout with the default GPG key in default GPG keyring,in-toto-sign -f root.layout --gpg Verify a layout with a GPG key identified by keyid '...439F3C2',in-toto-sign -f root.layout --verify --gpg ...439F3C2 Launch the console,msfconsole Launch the console [q]uietly without any banner,msfconsole --quiet Do [n]ot enable database support,msfconsole --no-database E[x]ecute console commands (Note: use `;` for passing multiple commands),"msfconsole --execute-command ""use auxiliary/server/capture/ftp; set SRVHOST 0.0.0.0; set SRVPORT 21; run""" Display [v]ersion,msfconsole --version Show CPU and hard disk performance data for the hard disk mounted at `/`,pveperf View documentation for the original command,tldr nmtui Specify the directory of the `main.cf` configuration file instead of the default configuration directory,postconf -c path/to/configuration_directory "Edit the `main.cf` configuration file and update parameter settings with the ""name=value"" pairs",postconf -e Print the default parameter settings of the `main.cf` instead of the actual settings,postconf -d "Display parameters only from the specified class. The class can be one of builtin, service, user or all",postconf -C class List available SASL plug-in types for the Postfix SMTP server. The plug-in type is selected with the `smtpd_sasl_type` configuration parameter by specifying `cyrus` or `dovecot` as the name,postconf -a "List the names of all supported lookup table types. Lookup tables are specified as `type:name` in configuration files where the type can be `btree`, `cdb`, `hash`, `mysql`, etc",postconf -m Check how GIFs differ,gifdiff path/to/first.gif path/to/second.gif Check if GIFs differ,gifdiff --brief path/to/first.gif path/to/second.gif Replace the resource using the resource definition file,kubectl replace -f path/to/file.yml Replace the resource using the input passed into `stdin`,kubectl replace -f - "Force replace, delete and then re-create the resource",kubectl replace --force -f path/to/file.yml "View supplementary help material for non-command topics like accessibility, filtering, and formatting",gcloud topic topic_name List all available topics,gcloud topic --help View documentation for the original command,tldr fossil commit Write the current directory's listing to an XML document,xml list > path/to/dir_list.xml Write the specified directory's listing to an XML document,xml list path/to/directory > path/to/dir_list.xml Display help,xml list --help Output char and line number of the first difference between two files,cmp path/to/file1 path/to/file2 "Output info of the first difference: char, line number, bytes, and values",cmp --print-bytes path/to/file1 path/to/file2 Output the byte numbers and values of every difference,cmp --verbose path/to/file1 path/to/file2 "Compare files but output nothing, yield only the exit status",cmp --quiet path/to/file1 path/to/file2 Output commands to set LS_COLOR using default colors,dircolors Display each filetype with the color they would appear in `ls`,dircolors --print-ls-colors Output commands to set LS_COLOR using colors from a file,dircolors path/to/file Output commands for Bourne shell,dircolors --bourne-shell Output commands for C shell,dircolors --c-shell View the default colors for file types and extensions,dircolors --print-data Create a new project,ionic start Start a local dev server for app dev/testing,ionic serve "Generate new app component, directive, page, pipe, provider or tabs",ionic g page Run app on an Android/iOS device,ionic cordova run android|ios --device Check the health of an Ionic app,ionic doctor check "Display versions of Ionic, Cordova, environment, etc.",ionic info Turn a PAM image into an oil painting,pamoil path/to/input_file.pam > path/to/output_file.pam "Consider a neighborhood of N pixels for the ""smearing"" effect",pamoil -n N path/to/input_file.pam > path/to/output_file.pam Create a ROM filesystem inside partition 1 on device b (`sdb1`),mkfs.cramfs /dev/sdb1 Create a ROM filesystem with a volume-name,mkfs.cramfs -n volume_name /dev/sdb1 Perform a basic scan of a subnet,vinmap -ip 192.168.1.0/24 "Scan a domain with version and OS detection, saving results to a specific file","vinmap -ip example.com -s ""-sV -O"" -o path/to/scan_results.xml" "Scan an IP range using 10 chunks and 20 concurrent threads, uses half of the system's CPU cores if not specified",vinmap -ip 10.0.0.1-10.0.0.255 -n 10 -t 20 Output scan results in JSON format,vinmap -ip 192.168.1.1-192.168.1.100 -f json Scan multiple IPs with default settings and save merged XML output,"vinmap -ip 192.168.1.1,192.168.1.2,..." Restart a specific task,pueue restart task_id "Restart multiple tasks at once, and start them immediately (do not enqueue)",pueue restart --start-immediately task_id task_id Restart a specific task from a different path,pueue restart --edit-path task_id Edit a command before restarting,pueue restart --edit task_id Restart a task in-place (without enqueuing as a separate task),pueue restart --in-place task_id Restart all failed tasks and stash them,pueue restart --all-failed --stashed Pretty-print one or more graphs in canonical format,nop path/to/input1.gv path/to/input2.gv ... > path/to/output.gv "Check one or more graphs for validity, producing no output graph",nop -p path/to/input1.gv path/to/input2.gv ... Display help,nop -? Display the most recent login of all users,lastlog Display the lastlog record of the specified user,lastlog --user username Display records older than 7 days,lastlog --before 7 Display records more recent than 3 days,lastlog --time 3 Download the contents of a URL to a file,ruget https://example.com/file Download the contents of a URL to a specified [o]utput file,ruget --output file_name https://example.com/file Add and deploy given extension,unopkg add path/to/extension Remove extension,unopkg remove extensions_id Display information about deployed extensions,unopkg list Raise extensions dialog (GUI),unopkg gui Reinstall all deployed extensions,unopkg reinstall Display help,unopkg -h|--help Add simulated shadows to a PPM image,ppmshadow path/to/input_file.ppm > path/to/output_file.ppm [b]lur the image by the specified number of pixels,ppmshadow -b n path/to/input_file.ppm > path/to/output_file.ppm Specify the displacement of the simulated light source to the left and the top of the image,ppmshadow -x left_offset -y top_offset path/to/input_file.ppm > path/to/output_file.ppm Search for remote gem(s) and show all available versions,gem search regular_expression --all Install the latest version of a gem,gem install gem_name Install a specific version of a gem,gem install gem_name --version 1.0.0 Install the latest matching (SemVer) version of a gem,gem install gem_name --version '~> 1.0' Update a gem,gem update gem_name List all local gems,gem list Uninstall a gem,gem uninstall gem_name Uninstall a specific version of a gem,gem uninstall gem_name --version 1.0.0 Open an interactive prompt to check personal mail,mail Send a typed email message with optional CC. The command-line below continues after pressing ``. Input message text (can be multiline). Press `-D` to complete the message text,"mail --subject=""subject line"" to_user@example.com --cc=""cc_email_address""" Send an email that contains file content,"mail --subject=""$HOSTNAME filename.txt"" to_user@example.com < path/to/filename.txt" Send a `tar.gz` file as an attachment,"tar cvzf - path/to/directory1 path/to/directory2 | uuencode data.tar.gz | mail --subject=""subject_line"" to_user@example.com" Checkout the latest version of all target files and directories,dvc checkout Checkout the latest version of a specified target,dvc checkout target Checkout a specific version of a target from a different Git commit/tag/branch,git checkout commit_hash|tag|branch target && dvc checkout target Build a Flatpak and export it to a new repository,flatpak-builder path/to/build_directory path/to/manifest Build a Flatpak and export it to the specified repository,flatpak-builder --repo=repository_name path/to/build_directory path/to/manifest Build a Flatpak and install it locally,flatpak-builder --install path/to/build_directory path/to/manifest Build and sign a Flatpak and export it to the specified repository,flatpak-builder --gpg-sign=key_id --repo=repository_name path/to/manifest Run a shell inside of an application sandbox without installing it,flatpak-builder --run path/to/build_directory path/to/manifest sh Log out of a specific cloud backend,pulumi logout url Log out of all backends simultaneously,pulumi logout --all Log out of using local mode,pulumi logout -l|--local Display help,pulumi logout -h|--help Add borders of the specified sizes to the image,pnmpad -left 100 -right 150 -top 123 -bottom 456 path/to/image.pnm > path/to/output.pnm Pad the image to the specified size,pnmpad -width 1000 -height 500 path/to/image.pnm > path/to/output.pnm "Pad the width of the image to the specified size, controlling the ratio between right and left padding",pnmpad -width 1000 -halign 0.7 path/to/image.pnm > path/to/output.pnm Pad the width of the image using the specified color,pnmpad -width 1000 -color red path/to/image.pnm > path/to/output.pnm Initialize a new PostgreSQL database cluster,pg_ctl -D data_directory init Start a PostgreSQL server,pg_ctl -D data_directory start Stop a PostgreSQL server,pg_ctl -D data_directory stop Restart a PostgreSQL server,pg_ctl -D data_directory restart Reload the PostgreSQL server configuration,pg_ctl -D data_directory reload Apply the specified binary function pixel-wise on the two specified images (which must be of the same size),pamarith -add|subtract|multiply|divide|difference|minimum|maximum|... path/to/image1.pam|pbm|pgm|ppm path/to/image2.pam|pbm|pgm|ppm Generate a binding between C++ and Python,swig -c++ -python -o path/to/output_wrapper.cpp path/to/swig_file.i Generate a binding between C++ and Go,swig -go -cgo -intgosize 64 -c++ path/to/swig_file.i Generate a binding between C and Java,swig -java path/to/swig_file.i Generate a binding between C and Ruby and prefix the Ruby module with `foo::bar::`,"swig -ruby -prefix ""foo::bar::"" path/to/swig_file.i" Install or upgrade `git-extras` commands,git extras update Display help,git extras --help Display version,git extras --version Generate with wal's palette and the current wallpaper (feh only),wal-telegram Generate with wal's palette and a specified background image,wal-telegram --background=path/to/image Generate with wal's palette and a colored background based on the palette,wal-telegram --tiled Apply a gaussian blur on the background image,wal-telegram -g Specify a location for the generated theme (default is `$XDG_CACHE_HOME/wal-telegram` or `~/.cache/wal-telegram`),wal-telegram --destination=path/to/destination Restart the telegram app after generation,wal-telegram --restart Copy a file to another location,cp path/to/source_file.ext path/to/target_file.ext "Copy a file into another directory, keeping the filename",cp path/to/source_file.ext path/to/target_parent_directory "Recursively copy a directory's contents to another location (if the destination exists, the directory is copied inside it)",cp -R path/to/source_directory path/to/target_directory "Copy a directory recursively, in verbose mode (shows files as they are copied)",cp -vR path/to/source_directory path/to/target_directory Copy multiple files at once to a directory,cp -t path/to/destination_directory path/to/file1 path/to/file2 ... "Copy text files to another location, in interactive mode (prompts user before overwriting)",cp -i *.txt path/to/target_directory Follow symbolic links before copying,cp -L link path/to/target_directory Use the first argument as the destination directory (useful for `xargs ... | cp -t `),cp -t path/to/target_directory path/to/file_or_directory1 path/to/file_or_directory2 ... Trace all program executions occurring on the system,sudo extrace Run a command and only trace descendants of this command,sudo extrace command Print the current working directory of each process,sudo extrace -d Resolve the full path of each executable,sudo extrace -l Display the user running each process,sudo extrace -u List all process types (a.k.a domains) that are in permissive mode,sudo semanage permissive -l|--list Set or unset permissive mode for a domain,sudo semanage permissive -a|--add|-d|--delete httpd_t Install atuin into your shell,"eval ""$(atuin init bash|zsh|fish)""" Import history from the shell default history file,atuin import auto Search shell history for a specific command,atuin search command "Register an account on the default sync server using the specified [u]sername, [e]mail and [p]assword",atuin register -u username -e email -p password Login to the default sync server,atuin login -u username -p password Sync history with the sync server,atuin sync View documentation for the original command,tldr systemd-sysext Display the latest commit for each row of a table,dolt blame table Display the latest commits for each row of a table when the specified commit was made,dolt blame commit table Display help,dolt blame --help Edit quota of the current user,edquota --user $(whoami) Edit quota of a specific user,sudo edquota --user username Edit quota for a group,sudo edquota --group group Restrict operations to a given filesystem (by default edquota operates on all filesystems with quotas),sudo edquota --file-system filesystem Edit the default grace period,sudo edquota -t Duplicate a quota to other users,sudo edquota -p reference_user destination_user1 destination_user2 Start Bird with a specific configuration file,bird -c path/to/bird.conf Start Bird as a specific user and group,bird -u username -g group Change the password of the current user interactively,passwd Change the password of a specific user,passwd username Get the current status of the user,passwd -S|--status Make the password of the account blank (it will set the named account passwordless),passwd -d|--delete Compare files,colordiff file1 file2 Output in two columns,colordiff -y file1 file2 Ignore case differences in file contents,colordiff -i file1 file2 Report when two files are the same,colordiff -s file1 file2 Ignore whitespace,colordiff -w file1 file2 Extract an archive,patool extract path/to/archive List contents of an archive,patool list path/to/archive Compare the contents of two archives and display the differences in the standard output,patool diff path/to/archive1 path/to/archive2 Search for a string inside the contents of an archive,patool search path/to/archive List all kubernetes pods (Ready and NotReady),crictl pods List all containers (Running and Exited),crictl ps --all List all images,crictl images Print information about specific containers,crictl inspect container_id1 container_id2 ... Open a specific shell inside a running container,crictl exec -it container_id sh Pull a specific image from a registry,crictl pull image:tag Print and [f]ollow logs of a specific container,crictl logs -f container_id Remove one or more images,crictl rmi image_id1 image_id2 ... View documentation for the original command,tldr magick montage "Connect to a remote server using a password supplied on a file descriptor (in this case, `stdin`)",sshpass -d 0 ssh user@hostname "Connect to a remote server with the password supplied as an option, and automatically accept unknown SSH keys",sshpass -p password ssh -o StrictHostKeyChecking=no user@hostname "Connect to a remote server using the first line of a file as the password, automatically accept unknown SSH keys, and launch a command","sshpass -f path/to/file ssh -o StrictHostKeyChecking=no user@hostname ""command""" Generate a simple full-stack project (monolithic or microservices),jhipster Generate a simple frontend project,jhipster --skip-server Generate a simple backend project,jhipster --skip-client Apply latest JHipster updates to the project,jhipster upgrade Add a new entity to a generated project,jhipster entity entity_name Import a JDL file to configure your application (see: ),jhipster import-jdl first_file.jh second_file.jh ... n_file.jh Generate a CI/CD pipeline for your application,jhipster ci-cd Generate a Kubernetes configuration for your application,jhipster kubernetes List available action plugins (modules),ansible-doc --list List available plugins of a specific type,ansible-doc --type become|cache|callback|cliconf|connection|... --list Show information about a specific action plugin (module),ansible-doc plugin_name Show information about a plugin with a specific type,ansible-doc --type become|cache|callback|cliconf|connection|... plugin_name Show the playbook snippet for action plugin (modules),ansible-doc --snippet plugin_name Show information about an action plugin (module) as JSON,ansible-doc --json plugin_name Generate a transition between two PPM images ([f]irst and [l]ast) using the specified effect,ppmfade -f path/to/image1.ppm -l path/to/image2.ppm -mix|spread|shift|relief|oil|... Generate a transition starting with the specified image and ending in a solid black image,ppmfade -f path/to/image.ppm -mix|spread|shift|relief|oil|... Generate a transition starting with a solid black image and ending with the specified image,ppmfade -l path/to/image.ppm -mix|spread|shift|relief|oil|... Store the resulting images in files named `base.NNNN.ppm` where `NNNN` is a increasing number,ppmfade -f path/to/image1.ppm -l path/to/image2.ppm -mix|spread|shift|relief|oil|... -base base Only run tests containing a specific string in their names,cargo test testname Set the number of simultaneous running test cases,cargo test -- --test-threads count "Test artifacts in release mode, with optimizations",cargo test --release Test all packages in the workspace,cargo test --workspace Run tests for a specific package,cargo test --package package Run tests without hiding output from test executions,cargo test -- --nocapture Change an author's email and name across the whole Git repository,"git reauthor --old-email old@example.com --correct-email new@example.com --correct-name ""name""" Change the email and name to the ones defined in the Git config,git reauthor --old-email old@example.com --use-config "Change the email and name of all commits, regardless of their original author",git reauthor --all --correct-email name@example.com --correct-name name Show column names,trawl -n Filter interface names using a case-insensitive regular expression,trawl -f wi List available interfaces,trawl -i Include the loopback interface,trawl -l Render a PNG image with a filename based on the input filename and output format (uppercase -O),fdp -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),fdp -T svg -o path/to/image.svg path/to/input.gv Render the output in a specific format,fdp -T ps|pdf|svg|fig|png|gif|jpg|json|dot -O path/to/input.gv Render a `gif` image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | fdp -T gif > path/to/image.gif" Display help,fdp -? Add kernel boot arguments to all kernel menu entries,sudo grubby --update-kernel=ALL --args 'quiet console=ttyS0' Remove existing arguments from the entry for the default kernel,sudo grubby --update-kernel=DEFAULT --remove-args quiet List all kernel menu entries,sudo grubby --info=ALL Display all input data using the specified data configuration,ffe --configuration=path/to/config.ffe path/to/input Convert an input file to an output file in a new format,ffe --output=path/to/output -c path/to/config.ffe path/to/input Select input structure and print format from definitions in `~/.fferc` configuration file,ffe --structure=structure --print=format path/to/input Write only the selected fields,"ffe --field-list=""FirstName,LastName,Age"" -c path/to/config.ffe path/to/input" Write only the records that match an expression,"ffe -e ""LastName=Smith"" -c path/to/config.ffe path/to/input" Display help,ffe --help Compute and display the WPA-PSK key for a given SSID reading the passphrase from `stdin`,wpa_passphrase SSID Compute and display WPA-PSK key for a given SSID specifying the passphrase as an argument,wpa_passphrase SSID passphrase Create a tar archive from the contents of the current HEAD and print it to `stdout`,git archive -v|--verbose HEAD Use the Zip format and report progress verbosely,git archive -v|--verbose --format zip HEAD Output the Zip archive to a specific file,git archive -v|--verbose -o|--output path/to/file.zip HEAD Create a tar archive from the contents of the latest commit of a specific branch,git archive -o|--output path/to/file.tar branch_name Use the contents of a specific directory,git archive -o|--output path/to/file.tar HEAD:path/to/directory Prepend a path to each file to archive it inside a specific directory,git archive -o|--output path/to/file.tar --prefix path/to/prepend/ HEAD Find process lines containing a specific string,psgrep process_name "Find process lines containing a specific string, excluding headers",psgrep -n process_name "Search using a simplified format (PID, user, command)",psgrep -s process_name Copy a file or directory from the host to a container,docker cp path/to/file_or_directory_on_host container_name:path/to/file_or_directory_in_container Copy a file or directory from a container to the host,docker cp container_name:path/to/file_or_directory_in_container path/to/file_or_directory_on_host "Copy a file or directory from the host to a container, following symlinks (copies the symlinked files directly, not the symlinks themselves)",docker cp --follow-link path/to/symlink_on_host container_name:path/to/file_or_directory_in_container Find and compile all modules in the current directory,ghc Main Compile a single file,ghc path/to/file.hs Compile using extra optimization,ghc -O path/to/file.hs Stop compilation after generating object files (.o),ghc -c path/to/file.hs Start a REPL (interactive shell),ghci Evaluate a single expression,ghc -e expression Format an XML document and print to `stdout`,xmlstarlet format path/to/file.xml XML document can also be piped from `stdin`,cat path/to/file.xml | xmlstarlet format Print all nodes that match a given XPath,xmlstarlet select --template --copy-of xpath path/to/file.xml "Insert an attribute to all matching nodes, and print to `stdout` (source file is unchanged)",xmlstarlet edit --insert xpath --type attr --name attribute_name --value attribute_value path/to/file.xml Update the value of all matching nodes in place (source file is changed),xmlstarlet edit --inplace --update xpath --value new_value file.xml Delete all matching nodes in place (source file is changed),xmlstarlet edit --inplace --delete xpath file.xml Escape or unescape special XML characters in a given string,xmlstarlet [un]escape string List a given directory as XML (omit argument to list current directory),xmlstarlet ls path/to/directory Disable the screensaver,xset s off Disable the bell sound,xset b off Set the screensaver to start after 60 minutes of inactivity,xset s 3600 3600 Disable DPMS (Energy Star) features,xset -dpms Enable DPMS (Energy Star) features,xset +dpms Query information on any X server,xset -display :0 q Check if a version string respects the semantic versioning format (prints an empty string if it does not match),semver 1.2 Convert a version string to the semantic versioning format,semver --coerce 1.2 Test if `1.2.3` matches the `^1.0` range (prints an empty string if it does not match),"semver 1.2.3 --range ""^1.0""" Test with multiple ranges,"semver 1.2.3 --range "">=1.0"" ""<2.0""" Test multiple version strings and return only the ones that match,"semver 1.2.3 2.0.0 --range ""^1.0""" Run the web extension in the current directory in Firefox,web-ext run Run a web extension from a specific directory in Firefox,web-ext run --source-dir path/to/directory Display verbose execution output,web-ext run --verbose Run a web extension in Firefox Android,web-ext run --target firefox-android Lint the manifest and source files for errors,web-ext lint Build and package the extension,web-ext build Display verbose build output,web-ext build --verbose Sign a package for self-hosting,web-ext sign --api-key api_key --api-secret api_secret Start an interactive shell with a transient in-memory database,duckdb "Start an interactive shell on a database file. If the file does not exist, a new database is created",duckdb path/to/dbfile "Directly query a CSV, JSON, or Parquet file","duckdb -c ""SELECT * FROM 'data_source.[csv|csv.gz|json|json.gz|parquet]'""" Run an SQL script,"duckdb -c "".read path/to/script.sql""" Run query on database file and keep the interactive shell open,"duckdb path/to/dbfile -cmd ""SELECT DISTINCT * FROM tbl""" Run SQL queries in file on database and keep the interactive shell open,duckdb path/to/dbfile -init path/to/script.sql Read CSV from `stdin` and write CSV to `stdout`,"cat path/to/source.csv | duckdb -c ""COPY (FROM read_csv('/dev/stdin')) TO '/dev/stdout' WITH (FORMAT CSV, HEADER)""" Display help,duckdb -help Configure `ia` with API keys (some functions won't work without this step),ia configure Upload one or more items to `archive.org`,"ia upload identifier path/to/file --metadata=""mediatype:data"" --metadata=""title:example""" Download one or more items from `archive.org`,ia download item Delete one or more items from `archive.org`,ia delete identifier file "Search on `archive.org`, returning results as JSON","ia search 'subject:""subject"" collection:collection'" Search for URLs of a domain (output will typically be in `~/.config/waymore/results/`),waymore -i example.com Limit search results to only include a list of URLs for a domain and store outputs to the specified file,waymore -mode U -oU path/to/example.com-urls.txt -i example.com Only output the content bodies of URLs and store outputs to the specified directory,waymore -mode R -oR path/to/example.com-url-responses -i example.com Filter the results by specifying date ranges,waymore -from YYYYMMDD|YYYYMM|YYYY -to YYYYMMDD|YYYYMM|YYYY -i example.com Accept print jobs to the specified destinations,cupsaccept destination1 destination2 ... Specify a different server,cupsaccept -h server destination1 destination2 ... Analyze a Python script or all the Python scripts in a specific directory,pydocstyle file.py|path/to/directory Show an explanation of each error,pydocstyle -e|--explain file.py|path/to/directory Show debug information,pydocstyle -d|--debug file.py|path/to/directory Display the total number of errors,pydocstyle --count file.py|path/to/directory Use a specific configuration file,pydocstyle --config path/to/config_file file.py|path/to/directory Ignore one or more errors,"pydocstyle --ignore D101,D2,D107,... file.py|path/to/directory" Check for errors from a specific convention,pydocstyle --convention pep257|numpy|google file.py|path/to/directory Set a password for a specific user in a virtual machine interactively,qm guest passwd vm_id username Set an already hashed password for a specific user in a virtual machine interactively,qm guest passwd vm_id username --crypted 1 Restart `plasmashell`,systemctl restart --user plasma-plasmashell Restart `plasmashell` without systemd,plasmashell --replace & disown Display [h]elp on command-line options,plasmashell --help "Display help, including Qt options",plasmashell --help-all Synchronize labels using a local `labels.json` file,github-label-sync --access-token token repository_name Synchronize labels using a specific labels JSON file,github-label-sync --access-token token --labels url|path/to/json_file repository_name Perform a dry run instead of actually synchronizing labels,github-label-sync --access-token token --dry-run repository_name Keep labels that aren't in `labels.json`,github-label-sync --access-token token --allow-added-labels repository_name Synchronize using the `GITHUB_ACCESS_TOKEN` environment variable,github-label-sync repository_name View documentation for the original command,tldr fossil init Give the [u]ser who owns a file the right to e[x]ecute it,chmod u+x path/to/file Give the [u]ser rights to [r]ead and [w]rite to a file/directory,chmod u+rw path/to/file_or_directory Remove e[x]ecutable rights from the [g]roup,chmod g-x path/to/file Give [a]ll users rights to [r]ead and e[x]ecute,chmod a+rx path/to/file Give [o]thers (not in the file owner's group) the same rights as the [g]roup,chmod o=g path/to/file Remove all rights from [o]thers,chmod o= path/to/file Change permissions recursively giving [g]roup and [o]thers the ability to [w]rite,"chmod -R g+w,o+w path/to/directory" Recursively give [a]ll users [r]ead permissions to files and e[X]ecute permissions to sub-directories within a directory,chmod -R a+rX path/to/directory Configure the AWS Command-line,aws configure wizard Configure the AWS Command-line using SSO,aws configure sso Get the caller identity (used to troubleshoot permissions),aws sts get-caller-identity List AWS resources in a region and output in YAML,aws dynamodb list-tables --region us-east-1 --output yaml Use auto prompt to help with a command,aws iam create-user --cli-auto-prompt Get an interactive wizard for an AWS resource,aws dynamodb wizard new_table Generate a JSON CLI Skeleton (useful for infrastructure as code),aws dynamodb update-table --generate-cli-skeleton Display help for a specific command,aws command help Clean a CSV file,csvclean bad.csv List locations of syntax errors in a CSV file,csvclean -n bad.csv Find out whether the network is connected and print the result to `stdout`,nm-online Wait `n` seconds for a connection (30 by default),nm-online --timeout n Display the start and expiry dates for a domain's certificate,openssl s_client -connect host:port 2>/dev/null | openssl x509 -noout -dates Display the certificate presented by an SSL/TLS server,openssl s_client -connect host:port path/to/image.pgm Estimate the number and the size of all emails on your Gmail account,gyb --email email@gmail.com --action estimate Backup a Gmail account to a specific directory,gyb --email email@gmail.com --action backup --local-folder path/to/directory Backup only important or starred emails from a Gmail account to the default local folder,"gyb --email email@gmail.com --search ""is:important OR is:starred""" Restore from a local folder to a Gmail account,gyb --email email@gmail.com --action restore --local-folder path/to/directory Print logged in usernames,users Print logged in usernames according to a given file,users /var/log/wmtp Create a droplet,doctl compute droplet create --region region --image os_image --size vps_type droplet_name Delete a droplet,doctl compute droplet delete droplet_id|droplet_name List droplets,doctl compute droplet list Show the remote addresses table only,bandwhich --addresses Show DNS queries,bandwhich --show-dns Show total (cumulative) usage,bandwhich --total-utilization Show the network utilization for a specific network interface,bandwhich --interface eth0 Show DNS queries with a given DNS server,bandwhich --show-dns --dns-server dns_server_ip Generate a random number,mcookie "Generate a random number, using the contents of a file as a seed for the randomness",mcookie --file path/to/file "Generate a random number, using a specific number of bytes from a file as a seed for the randomness",mcookie --file path/to/file --max-size number_of_bytes "Print the details of the randomness used, such as the origin and seed for each source",mcookie --verbose Repair a partition,sudo xfs_repair path/to/partition Add a specific package to the cache,npm cache add package_name Remove a specific package from the cache,npm cache remove package_name Clear a specific cached item by key,npm cache clean key Clear the entire npm cache,npm cache clean --force List the contents of the npm cache,npm cache ls Verify the integrity of the npm cache,npm cache verify Show information about the npm cache location and configuration,npm cache dir Change the cache path,npm config set cache path/to/directory Compile a shell script,shc -f script Compile a shell script and specify an output binary file,shc -f script -o binary Compile a shell script and set an expiration date for the executable,shc -f script -e dd/mm/yyyy Compile a shell script and set a message to display upon expiration,"shc -f script -e dd/mm/yyyy -m ""Please contact your provider""" Retrieve crash reports and move them to a specified directory,idevicecrashreport path/to/directory Retrieve crash reports without removing them from the device,idevicecrashreport --keep path/to/directory Extract crash reports into separate `.crash` files,idevicecrashreport --extract path/to/directory Disable the JSON extension for every SAPI of every PHP version,sudo phpdismod json Disable the JSON extension for PHP 7.3 with the cli SAPI,sudo phpdismod -v 7.3 -s cli json Remove an installed binary,cargo remove package_spec Convert a HP ThinkJet printer commands file to a PBM file,thinkjettopbm path/to/input > path/to/output.pbm Print debug information to `stderr`,thinkjettopbm -d path/to/input > path/to/output.pbm Extract strongly connected components of one or more directed graphs,sccmap -S path/to/input1.gv path/to/input2.gv ... > path/to/output.gv "Print statistics about a graph, producing no output graph",sccmap -v -s path/to/input1.gv path/to/input2.gv ... Display help,sccmap -? Parse the contents of a URL or file,nokogiri url|path/to/file Parse as a specific type,nokogiri url|path/to/file --type xml|html Load a specific initialization file before parsing,nokogiri url|path/to/file -C path/to/config_file Parse using a specific encoding,nokogiri url|path/to/file --encoding encoding Validate using a RELAX NG file,nokogiri url|path/to/file --rng url|path/to/file Remove files or directories from specified locations and place them in the graveyard,rip path/to/file_or_directory path/to/another/file_or_directory "Interactively remove files or directories, with a prompt before every removal",rip --inspect path/to/file_or_directory path/to/another/file_or_directory List all files and directories in the graveyard that were originally within the current directory,rip --seance Permanently delete every file and directory in the graveyard,rip --decompose Put back the files and directories which were affected by the most recent removal,rip --unbury Put back every file and directory that is listed by `rip --seance`,rip --seance --unbury Show current build configuration to verify what would be built,mkosi summary "Build an image with default settings (if no distribution is selected, the distribution of the host system is used)",mkosi build --distribution fedora|debian|ubuntu|arch|opensuse|... Build an image and run an interactive shell in a systemd-nspawn container of the image,mkosi shell Boot an image in a virtual machine using QEMU (only supported for disk images or CPIO images when a kernel is provided),mkosi qemu Display help,mkosi help Mount remote directory,sshfs username@remote_host:remote_directory mountpoint Unmount remote directory,umount mountpoint Mount remote directory from server with specific port,sshfs username@remote_host:remote_directory -p 2222 Use compression,sshfs username@remote_host:remote_directory -C Follow symbolic links,sshfs -o follow_symlinks username@remote_host:remote_directory mountpoint List all repos in a specific project,az repos list --project project_name Add policy on a specific branch of a specific repository to restrict basic merge,az repos policy merge-strategy create --repository-id repository_id_in_repos_list --branch branch_name --blocking --enabled --allow-no-fast-forward false --allow-rebase true --allow-rebase-merge true --allow-squash true "Add build validation on a specific repository, using an existing build pipeline, to be triggered automatically on source update",az repos policy build create --repository-id repository_id --build-definition-id build_pipeline_id --branch main --blocking --enabled --queue-on-source-update-only true --display-name name --valid-duration minutes List all active Pull Requests on a specific repository within a specific project,az repos pr list --project project_name --repository repository_name --status active List all `toolbox` containers and images,toolbox list List only `toolbox` containers,toolbox list --containers List only `toolbox` images,toolbox list --images Increase the saturation of each pixel by the specified percentage,pambrighten -saturation value_percent path/to/image.pam > path/to/output.pam Increase the value (from the HSV color space) of each pixel by the specified percentage,pambrighten -value value_percent path/to/image.pam > path/to/output.pam List installed packages,pip freeze List installed packages and write it to the `requirements.txt` file,pip freeze > requirements.txt "List installed packages in a virtual environment, excluding globally installed packages",pip freeze --local > requirements.txt List installed packages in the user-site,pip freeze --user > requirements.txt "List all packages, including `pip`, `distribute`, `setuptools`, and `wheel` (they are skipped by default)",pip freeze --all > requirements.txt Use a specific extension (default: `aff`),afconvert -a extension path/to/input_file path/to/output_file1 path/to/output_file2 ... Use a specific compression level (default: `7`),afconvert -X0..7 path/to/input_file path/to/output_file1 path/to/output_file2 ... Store a secret with an optional label,secret-tool store --label=label key value Retrieve a secret,secret-tool lookup key key Get more information about a secret,secret-tool search key key Delete a stored secret,secret-tool clear key key Check a btrfs filesystem,sudo btrfs check path/to/partition Check and repair a btrfs filesystem (dangerous),sudo btrfs check --repair path/to/partition Show the progress of the check,sudo btrfs check --progress path/to/partition Verify the checksum of each data block (if the filesystem is good),sudo btrfs check --check-data-csum path/to/partition "Use the `n`-th superblock (`n` can be 0, 1 or 2)",sudo btrfs check --super n path/to/partition Rebuild the checksum tree,sudo btrfs check --repair --init-csum-tree path/to/partition Rebuild the extent tree,sudo btrfs check --repair --init-extent-tree path/to/partition Convert an XBM image to a PPM image,xbmtopbm path/to/input_file.xbm > path/to/output_file.pbm List all commands that you could run,compgen -c List all aliases,compgen -a List all functions that you could run,compgen -A function Show shell reserved keywords,compgen -k See all available commands/aliases starting with 'ls',compgen -ac ls Create a new Ansible role,molecule init role --role-name role_name Run tests,molecule test Start the instance,molecule create Configure the instance,molecule converge List scenarios of the instance,molecule matrix converge Log in into the instance,molecule login Compress a file,bzip3 path/to/file_to_compress [d]ecompress a file,bzip3 -d path/to/compressed_file.bz3 Decompress a file to `stdout` ([c]),bzip3 -dc path/to/compressed_file.bz3 Test the integrity of each file inside the archive file,bzip3 --test path/to/compressed_file.bz3 Show the compression ratio for each file processed with detailed information,bzip3 --verbose path/to/compressed_files.bz3 Decompress a file overwriting existing files,bzip3 -d --force path/to/compressed_file.bz3 Display help,bzip3 -h "Display each file in the repository, showing commits and active days",git effort "Display files modified by a specific number of commits or more, showing commits and active days",git effort --above 5 "Display files modified by a specific author, showing commits and active days","git effort -- --author=""username""" "Display files modified since a specific time/date, showing commits and active days","git effort -- --since=""last month""" "Display only the specified files or directories, showing commits and active days",git effort path/to/file_or_directory1 path/to/file_or_directory2 ... "Display all files in a specific directory, showing commits and active days",git effort path/to/directory/* First import feed URLs from an OPML file,newsboat -i my-feeds.xml "Alternatively, add feeds manually","echo http://example.com/path/to/feed >> ""${HOME}/.newsboat/urls""" Start Newsboat and refresh all feeds on startup,newsboat -r Execute one or more commands in non-interactive mode,newsboat -x reload print-unread ... See keyboard shortcuts (the most relevant are visible in the status line),? View documentation for the current command,tldr winicontopam Remove file from repository index and filesystem,git rm path/to/file Remove directory,git rm -r path/to/directory Remove file from repository index but keep it untouched locally,git rm --cached path/to/file Analyze a VHDL source file and produce an object file,ghdl -a filename.vhdl "Elaborate a design (where `design` is the name of a configuration unit, entity unit or architecture unit)",ghdl -e design Run an elaborated design,ghdl -r design Run an elaborated design and dump output to a waveform file,ghdl -r design --wave=output.ghw Check the syntax of a VHDL source file,ghdl -s filename.vhdl Display help,ghdl --help Retrieve information about the current server,hsd-cli info Broadcast a local transaction,hsd-cli broadcast transaction_hex Retrieve a mempool snapshot,hsd-cli mempool View a transaction by address or hash,hsd-cli tx address_or_hash View a coin by its hash index or address,hsd-cli coin hash_index_or_address View a block by height or hash,hsd-cli block height_or_hash Reset the chain to the specified block,hsd-cli reset height_or_hash Execute an RPC command,hsd-cli rpc command args Start a REPL (interactive shell),just Run a JavaScript file,just path/to/file.js Evaluate JavaScript code by passing it as an argument,"just eval ""code""" Initialize a new project in a directory of the same name,just init project_name Build a JavaScript application into an executable,just build path/to/file.js --static Check if the configuration files are valid or not (if present report errors),promtool check config config_file.yml Check if the rule files are valid or not (if present report errors),promtool check rules rules_file.yml Pass Prometheus metrics over `stdin` to check them for consistency and correctness,curl --silent http://example.com:9090/metrics/ | promtool check metrics Unit tests for rules config,promtool test rules test_file.yml Show a decorated tree graph for all branches annotated with tags and branch names,git show-tree Check the status of a tape drive,mt -f /dev/nstX status Rewind the tape to beginning,mt -f /dev/nstX rewind "Move forward a given files, then position the tape on first block of next file",mt -f /dev/nstX fsf count "Rewind the tape, then position the tape at beginning of the given file",mt -f /dev/nstX asf count Position the tape at the end of valid data,mt -f /dev/nstX eod Rewind the tape and unload/eject it,mt -f /dev/nstX eject Write EOF (End-of-file) mark at the current position,mt -f /dev/nstX eof Create files and directories as specified in the configuration,systemd-tmpfiles --create Clean up files and directories with age parameters configured,systemd-tmpfiles --clean Remove files and directories as specified in the configuration,systemd-tmpfiles --remove Apply operations for user-specific configurations,systemd-tmpfiles --create --user Execute lines marked for early boot,systemd-tmpfiles --create --boot [l]ist the available jobs,act -l Run the default event,act Run a specific event,act event_type Run a specific [j]ob,act -j job_id Do [n]ot actually run the actions (i.e. a dry run),act -n Show [v]erbose logs,act -v Run a specific [W]orkflow with the push event,act push -W path/to/workflow Set a filesystem label,"mlabel -i /dev/sda ::""new_label""" List all components,gpgconf --list-components List the directories used by gpgconf,gpgconf --list-dirs List all options of a component,gpgconf --list-options component List programs and test whether they are runnable,gpgconf --check-programs Reload a component,gpgconf --reload component Show commits which aren't shared between the currently checked-out branch and another branch,git missing branch Show commits which aren't shared between two branches,git missing branch_1 branch_2 Automatically choose the right build script to build packages in a clean `chroot`,pkgctl build Manually build packages in a clean `chroot`,pkgctl build --arch architecture --repo repository --clean View documentation for the original command,tldr qm disk move Convert a PAM image file to an ICO file,pamtowinicon path/to/input_file.pam > path/to/output.ico Encode images with resolutions smaller than t in the BMP format and all other images in the PNG format,pamtowinicon -pngthreshold t path/to/input_file.pam > path/to/output.ico Make all pixels outside the non-opaque area black,pamtowinicon -truetransparent path/to/input_file.pam > path/to/output.ico List network interfaces and their associated IP addresses,ip address Filter to show only active network interfaces,ip address show up Display information about a specific network interface,ip address show dev eth0 Add an IP address to a network interface,ip address add ip_address dev eth0 Remove an IP address from a network interface,ip address delete ip_address dev eth0 Delete all IP addresses in a given scope from a network interface,ip address flush dev eth0 scope global|host|link Check the current repository,git fsck List all tags found,git fsck --tags List all root nodes found,git fsck --root Show the current settings of the system locale and keyboard mapping,localectl List available locales,localectl list-locales Set a system locale variable,localectl set-locale LANG=en_US.UTF-8 List available keymaps,localectl list-keymaps Set the system keyboard mapping for the console and X11,localectl set-keymap us "Lookup A, AAAA, and MX records of a domain",host domain "Lookup a field (CNAME, TXT,...) of a domain",host -t field domain Reverse lookup an IP,host ip_address Specify an alternate DNS server to query,host domain 8.8.8.8 "List all available TeX Live packages, prefexing installed ones with `i`",tlmgr info List all available collections,tlmgr info collections List all available schemes,tlmgr info scheme Show information about a specific package,tlmgr info package List all files contained in a specific package,tlmgr info package --list List all installed packages,tlmgr info --only-installed Show only specific information about a package,"tlmgr info package --data ""name,category,installed,size,depends,...""" Print all available packages as JSON encoded array,tlmgr info --json Register a new trigger. Execute the specified program when the specified event occurs,strigger --set --primary_database_failure|primary_slurmdbd_failure|primary_slurmctld_acct_buffer_full|primary_slurmctld_failure|... --program=path/to/executable Execute the specified program when the specified job terminated,"strigger --set --jobid=job_id --fini --program=""path/to/executable argument1 argument2 ...""" View active triggers,strigger --get View active triggers regarding the specified job,strigger --get --jobid=job_id Clear the specified trigger,strigger --clear trigger_id Check if zram is enabled,lsmod | grep -i zram Enable zram with a dynamic number of devices (use `zramctl` to configure devices further),sudo modprobe zram Enable zram with exactly 2 devices,sudo modprobe zram num_devices=2 Find and initialize the next free zram device to a 2 GB virtual drive using LZ4 compression,sudo zramctl --find --size 2GB --algorithm lz4 List currently initialized devices,sudo zramctl Convert a PNM image file to XWD,pnmtoxwd path/to/input_file.pnm > path/to/output_file.xwd Produce the output in the DirectColor format,pnmtoxwd -directcolor path/to/input_file.pnm > path/to/output_file.xwd Set the color depth of the output to b bits,pnmtoxwd -pseudodepth b path/to/input_file.pnm > path/to/output_file.xwd Launch Dillo,dillo Launch Dillo with a specific window size and screen location,dillo --geometry widthxheight+x_position+y_position Launch Dillo and open a specific URL,dillo duckduckgo.com Launch Dillo and open a file or directory,dillo path/to/file_or_directory Launch Dillo in full-screen mode,dillo --fullwindow Display version,dillo --version Display help,dillo --help Hide mouse cursor after 3 seconds,unclutter -idle 3 Build and add package `libcurl` to the `vcpkg` environment,vcpkg install curl Build and add `zlib` using the `emscripten` toolchain,vcpkg install --triplet=wasm32-emscripten zlib Search for a package,vcpkg search pkg_name Configure a CMake project to use `vcpkg` packages,cmake -B build -DCMAKE_TOOLCHAIN_FILE=path/to/vcpkg_install_directory/scripts/buildsystems/vcpkg.cmake Upload a local path to the parent folder with the specified ID,gdrive upload -p id path/to/file_or_folder Download file or directory by ID to current directory,gdrive download id Download to a given local path by its ID,gdrive download --path path/to/folder id Create a new revision of an ID using a given file or folder,gdrive update id path/to/file_or_folder Generate a mirrorlist using the default settings,sudo pacman-mirrors --fasttrack Get the status of the current mirrors,pacman-mirrors --status Display the current branch,pacman-mirrors --get-branch Switch to a different branch,sudo pacman-mirrors --api --set-branch stable|unstable|testing "Generate a mirrorlist, only using mirrors in your country",sudo pacman-mirrors --geoip List all the aliases `gh` is configured to use,gh alias list Create a `gh` subcommand alias,gh alias set pv 'pr view' Set a shell command as a `gh` subcommand,gh alias set --shell alias_name command Delete a command shortcut,gh alias delete alias_name Display the subcommand help,gh alias Check the code in a specific directory for deprecations,drupal-check path/to/directory Check the code excluding a comma-separated list of directories,"drupal-check --exclude-dir path/to/excluded_directory,path/to/excluded_files/*.php path/to/directory" Don't show a progress bar,drupal-check --no-progress path/to/directory Perform static analysis to detect bad coding practices,drupal-check --analysis path/to/directory Print direct dependencies,npm query ':root > *' Print all direct production/development dependencies,npm query ':root > .prod|dev' Print dependencies with a specific name,npm query '#package' Print dependencies with a specific name and within a semantic versioning range,npm query '#package@semantic_version' Print dependencies which have no dependencies,npm query ':empty' Find all dependencies with postinstall scripts and uninstall them,"npm query "":attr(scripts, [postinstall])"" | jq 'map(.name) | join(""\n"")' -r | xargs -I {} npm uninstall {}" Find all Git dependencies and print which application requires them,"npm query "":type(git)"" | jq 'map(.name)' | xargs -I {} npm why {}" Check the configuration,sudo postfix check Check the status of the Postfix daemon,sudo postfix status Start Postfix,sudo postfix start Gracefully stop Postfix,sudo postfix stop Flush the mail queue,sudo postfix flush Reload the configuration files,sudo postfix reload Create a new tomb with an initial size of 100 MB,tomb dig -s 100 encrypted_directory.tomb Create a new key file that can be used to lock a tomb; user will be prompted for a password for the key,tomb forge encrypted_directory.tomb.key "Forcefully create a new key, even if the tomb isn't allowing key forging (due to swap)",tomb forge encrypted_directory.tomb.key -f Initialize and lock an empty tomb using a key made with `forge`,tomb lock encrypted_directory.tomb -k encrypted_directory.tomb.key "Mount a tomb (by default in `/media`) using its key, making it usable as a regular filesystem directory",tomb open encrypted_directory.tomb -k encrypted_directory.tomb.key Close a tomb (fails if the tomb is being used by a process),tomb close encrypted_directory.tomb "Forcefully close all open tombs, killing any applications using them",tomb slam all List all open tombs,tomb list Look up a character by its value,chars 'ß' Look up a character by its Unicode code point,chars U+1F63C Look up possible characters given an ambiguous code point,chars 10 Look up a control character,"chars ""^C""" Read a PNM image as input and produce a JPEG/JFIF/EXIF image as output,pnmtojpeg path/to/file.pnm > path/to/file.jpg Display version,pnmtojpeg -version Initialize a project,jigsaw init Initialize a project using a starter template,jigsaw init template_name Build the site for development,jigsaw build "Preview the site from the ""build_local"" directory",jigsaw serve Build the site for production,jigsaw build production "Preview the site from the ""build_production"" directory",jigsaw serve build_production Convert a specific PNG image to AVIF,avifenc path/to/input.png path/to/output.avif "Encode with a specific speed (6=default, 0=slowest and 10=fastest)",avifenc --speed 2 path/to/input.png path/to/output.avif Delete untracked files,git clean Interactively delete untracked files,git clean -i|--interactive Show which files would be deleted without actually deleting them,git clean --dry-run Forcefully delete untracked files,git clean -f|--force Forcefully delete untracked [d]irectories,git clean -f|--force -d "Delete untracked files, including e[x]cluded files (files ignored in `.gitignore` and `.git/info/exclude`)",git clean -x [M]odify ACL of a file for user with read and write access,setfacl --modify u:username:rw path/to/file_or_directory [M]odify [d]efault ACL of a file for all users,setfacl --modify --default u::rw path/to/file_or_directory Remove ACL of a file for a user,setfacl --remove u:username path/to/file_or_directory Remove all ACL entries of a file,setfacl --remove-all path/to/file_or_directory View documentation for the original command,tldr pamtopnm Display the system's architecture,arch List existing machines,podman machine ls Create a new default machine,podman machine init Create a new machine with a specific name,podman machine init name Create a new machine with different resources,podman machine init --cpus=4 --memory=4096 --disk-size=50 Start or stop a machine,podman machine start|stop name Connect to a running machine via SSH,podman machine ssh name Inspect information about a machine,podman machine inspect name Create a kustomization file with resources and namespace,"kustomize create --resources deployment.yaml,service.yaml --namespace staging" Build a kustomization file and deploy it with `kubectl`,kustomize build . | kubectl apply -f - Set an image in the kustomization file,kustomize edit set image busybox=alpine:3.6 Search for Kubernetes resources in the current directory to be added to the kustomization file,kustomize create --autodetect Start a game at Level 1,pacman4console Start a game on a certain level (there are nine official levels),pacman4console --level=level_number "Start the pacman4console level editor, saving to a specified text file",pacman4consoleedit path/to/level_file Play a custom level,pacman4console --level=path/to/level_file Open a page,cockpit-desktop url SSH_host Open storage page,cockpit-desktop /cockpit/@localhost/storage/index.html Start an interactive shell with some packages from `nixpkgs`,nix shell nixpkgs#pkg1 nixpkgs#packageSet.pkg2 ... Start a shell providing a package from an older version of `nixpkgs` (21.05),nix shell nixpkgs/nixos-21.05#pkg "Start a shell with the ""default package"" from a flake in the current directory, printing build logs if any builds happen",nix shell -L Start a shell with a package from a flake on GitHub,nix shell github:owner/repo#pkg Run a command in a shell with a package,nix shell nixpkgs#pkg -c some-cmd --someflag 'Some other arguments' Generate a Go struct from a given XML from `stdin` and display output on `stdout`,cat path/to/input.xml | zek Generate a Go struct from a given XML from `stdin` and send output to a file,curl -s https://url/to/xml | zek -o path/to/output.go Generate an example Go program from a given XML from `stdin` and send output to a file,cat path/to/input.xml | zek -p -o path/to/output.go "View the documentation for the command referring to the latest, cross-platform version of PowerShell (version 6 and above)",tldr pwsh View the documentation for the command referring to the legacy Windows PowerShell (version 5.1 and below),tldr powershell -p windows Display an interactive view of PipeWire nodes and devices,pw-top Monitor a remote instance,pw-top --remote remote_name Print information periodically instead of running in interactive mode,pw-top --batch-mode Print information periodically for a specific number of times,pw-top --batch-mode --iterations 3 Make the RGB colors in a PPM image compatible with NTSC color systems,ppmntsc path/to/input_file.ppm > path/to/output_file.ppm Make the RGB colors in a PPM image compatible with PAL color systems,ppmntsc --pal path/to/input_file.ppm > path/to/output_file.ppm Print the number of illegal pixels in the input image to `stderr`,ppmntsc --verbose path/to/input_file.ppm > path/to/output_file.ppm "Output only legal/illegal/corrected pixels, set other pixels to black",ppmntsc --legalonly|illegalonly|correctedonly path/to/input_file.ppm > path/to/output_file.ppm Run a `doctl databases sql-mode` command with an access token,doctl databases sql-mode command --access-token access_token Get a MySQL database cluster's SQL modes,doctl databases sql-mode get database_id Overwrite a MySQL database cluster's SQL modes to the specified modes,doctl databases sql-mode set database_id sql_mode_1 sql_mode_2 ... Convert a PBM image to a WBMP file,pbmtowbmp path/to/input_file.pbm > path/to/output_file.wbmp Change the size of a logical volume to 120 GB,lvresize --size 120G volume_group/logical_volume Extend the size of a logical volume as well as the underlying filesystem by 120 GB,lvresize --size +120G --resizefs volume_group/logical_volume Extend the size of a logical volume to 100% of the free physical volume space,lvresize --size 100%FREE volume_group/logical_volume Reduce the size of a logical volume as well as the underlying filesystem by 120 GB,lvresize --size -120G --resizefs volume_group/logical_volume List all available generators,rails generate Generate a new model named Post with attributes title and body,rails generate model Post title:string body:text "Generate a new controller named Posts with actions index, show, new and create",rails generate controller Posts index show new create Generate a new migration that adds a category attribute to an existing model called Post,rails generate migration AddCategoryToPost category:string "Generate a scaffold for a model named Post, predefining the attributes title and body",rails generate scaffold Post title:string body:text Enable the `nix` command,mkdir -p ~/.config/nix; echo 'experimental-features = nix-command flakes' > ~/.config/nix/nix.conf Search for a package in nixpkgs via its name or description,nix search nixpkgs search_term Start a shell with the specified packages from nixpkgs available,nix shell nixpkgs#pkg1 nixpkgs#pkg2 nixpkgs#pkg3 ... Install some packages from nixpkgs permanently,nix profile install nixpkgs#pkg1 nixpkgs#pkg2 nixpkgs#pkg3 ... Remove unused paths from Nix store to free up space,nix store gc Start an interactive environment for evaluating Nix expressions,nix repl Display help for a specific subcommand,nix help subcommand "Output a YAML file, in pretty-print format (v4+)",yq eval path/to/file.yaml "Output a YAML file, in pretty-print format (v3)",yq read path/to/file.yaml --colors Output the first element in a YAML file that contains only an array (v4+),yq eval '.[0]' path/to/file.yaml Output the first element in a YAML file that contains only an array (v3),yq read path/to/file.yaml '[0]' Set (or overwrite) a key to a value in a file (v4+),"yq eval '.key = ""value""' --inplace path/to/file.yaml" Set (or overwrite) a key to a value in a file (v3),yq write --inplace path/to/file.yaml 'key' 'value' Merge two files and print to `stdout` (v4+),"yq eval-all 'select(filename == ""path/to/file1.yaml"") * select(filename == ""path/to/file2.yaml"")' path/to/file1.yaml path/to/file2.yaml" Merge two files and print to `stdout` (v3),yq merge path/to/file1.yaml path/to/file2.yaml --colors Wait until the virtual machine is stopped,qm wait vm_id Wait until the virtual machine is stopped with a 10 second timeout,qm wait --timeout 10 vm_id "Send a shutdown request, then wait until the virtual machine is stopped with a 10 second timeout",qm shutdown vm_id && qm wait --timeout 10 vm_id Log in to the Cloud Foundry API,cf login -a api_url Push an app using the default settings,cf push app_name View the services available from your organization,cf marketplace Create a service instance,cf create-service service plan service_name Connect an application to a service,cf bind-service app_name service_name "Run a script whose code is included in the app, but runs independently","cf run-task app_name ""script_command"" --name task_name" Start an interactive SSH session with a VM hosting an app,cf ssh app_name View a dump of recent app logs,cf logs app_name --recent Remove white borders on a PNM image,pnmcrop -white path/to/image.pnm > path/to/output.pnm Remove borders of the specified color that are on the top and left side of the image,pnmcrop -bg-color color -top -left path/to/image.pnm > path/to/output.pnm Determine the color of the borders to be removed by the color of the pixel in the specified corner,pnmcrop -bg-corner topleft|topright|bottomleft|bottomright path/to/image.pnm > path/to/output.pnm "Leave a border with a width of `n` pixels. Additionally, specify the behaviour if the image is entirely made out of background",pnmcrop -margins n -blank-image pass|minimize|maxcrop path/to/image.pnm > path/to/output.pnm Open a menu of available flashcard decks for selection,flash Display information about the flashcard system,flash -i Change the previewer from default `bat` to `cat`,flash -p cat Display help,flash -h Display version,flash -v Add a new user to an organization,npm org set organization_name username Change a user's role in an organization,npm org set organization_name username developer|admin|owner Remove a user from an organization,npm org rm organization_name username List all users in an organization,npm org ls organization_name "List all users in an organization, output in JSON format",npm org ls organization_name --json Display a user's role in an organization,npm org ls organization_name username Listen on all interfaces (`0.0.0.0`) and port `8080`,xsp Listen on a specific IP address and port,xsp --address 127.0.0.1 --port 8000 Enlarge a PBM image by the specified factor with edge smoothing,pbmpscale N path/to/image.pbm > path/to/file.pbm List an archive file's contents,lsar path/to/archive List a password protected archive file's contents,lsar path/to/archive --password password Print al[L] available information about each file in the archive (it's very long),lsar -L|--verylong path/to/archive Test the integrity of the files in the archive (if possible),lsar --test path/to/archive List the archive file's contents in JSON format,lsar --json path/to/archive Display help,lsar --help Pair a device with the host,idevicepair pair List devices paired with the host,idevicepair list Start a REPL (interactive shell),racket Execute a Racket script,racket path/to/script.rkt Execute a Racket expression,"racket --eval ""expression""" Run module as a script (terminates option list),racket --lib module_name --main arguments Start a REPL (interactive shell) for the `typed/racket` hashlang,racket -I typed/racket Save all records (in a separate file) up to the last intact one,sc_wartsfix path/to/file1.warts path/to/file2.warts ... Show the last few lines of output from all tasks,pueue log Show the full output of a task,pueue log task_id Show the last few lines of output from several tasks,pueue log task_id task_id Print a specific number of lines from the tail of output,pueue log --lines number_of_lines task_id Run the daemon with a configuration file,dbus-daemon --config-file path/to/file Run the daemon with the standard per-login-session message bus configuration,dbus-daemon --session Run the daemon with the standard systemwide message bus configuration,dbus-daemon --system Set the address to listen on and override the configuration value for it,dbus-daemon --address address Output the process ID to `stdout`,dbus-daemon --print-pid Force the message bus to write to the system log for messages,dbus-daemon --syslog List all local system locks,lslocks List locks with defined column headers,"lslocks --output PID,COMMAND,PATH" "List locks producing a raw output (no columns), and without column headers",lslocks --raw --noheadings List locks by PID input,lslocks --pid PID List locks with JSON output to `stdout`,lslocks --json Show the content of all global and local `.gitignore` files,git ignore "Ignore file(s) privately, updating `.git/info/exclude` file",git ignore file_pattern --private "Ignore file(s) locally, updating local `.gitignore` file",git ignore file_pattern "Ignore file(s) globally, updating global `.gitignore` file",git ignore file_pattern --global Create an index,aws kendra create-index --name name --role-arn role_arn List indexes,aws kendra list-indexes Describe an index,aws kendra describe-index --id index_id List data sources,aws kendra list-data-sources Describe a data source,aws kendra describe-data-source --id data_source_id List search queries,aws kendra list-query-suggestions --index-id index_id --query-text query_text Format `stdin` as multiple columns,ls | git column --mode=column Format `stdin` as multiple columns with a maximum width of `100`,ls | git column --mode=column --width=100 Format `stdin` as multiple columns with a maximum padding of `30`,ls | git column --mode=column --padding=30 Copy a single file (open an editor with the source filename on the left and the target filename on the right),qcp source_file Copy multiple JPEG files,qcp *.jpg "Copy files, but swap the positions of the source and the target filenames in the editor",qcp --option swap *.jpg Display calendar for the current month,gcal Display calendar for the month of February of the year 2010,gcal 2 2010 Provide calendar sheet with week numbers,gcal --with-week-number Change starting day of week to 1st day of the week (Monday),gcal --starting-day=1 "Display the previous, current and next month surrounding today",gcal . Generate the histogram for human reading,ppmhist -nomap path/to/image.ppm "Generate a PPM file of the colormap for the image, with the color histogram as comments",ppmhist -map path/to/image.ppm Display version,ppmhist -version Show all available subcommands and flags,pueue help Display help for a specific subcommand,pueue help subcommand Print the hexadecimal representation of a file,hexyl path/to/file Print the hexadecimal representation of the first n bytes of a file,hexyl -n n path/to/file Print bytes 512 through 1024 of a file,hexyl -r 512:1024 path/to/file Print 512 bytes starting at the 1024th byte,hexyl -r 1024:+512 path/to/file Pull a playbook from a VCS and execute a default local.yml playbook,ansible-pull -U repository_url Pull a playbook from a VCS and execute a specific playbook,ansible-pull -U repository_url playbook Pull a playbook from a VCS at a specific branch and execute a specific playbook,ansible-pull -U repository_url -C branch playbook "Pull a playbook from a VCS, specify hosts file and execute a specific playbook",ansible-pull -U repository_url -i hosts_file playbook Update the metadata database,sudo apt update Search for packages that contain the specified file or path,apt-file search|find partial_path/to/file List the contents of a specific package,apt-file show|list package Search for packages that match the `regular_expression`,apt-file search|find --regexp regular_expression Set the keyboard in French AZERTY,setxkbmap fr "Set multiple keyboard layouts, their variants and switching option","setxkbmap -layout us,de -variant ,qwerty -option 'grp:alt_caps_toggle'" Get help,setxkbmap -help List all layouts,localectl list-x11-keymap-layouts List variants for the layout,localectl list-x11-keymap-variants de List available switching options,localectl list-x11-keymap-options | grep grp: Package a web page,pake https://www.google.com/ Package a web page with a specific window size,pake --width 800 --height 600 https://www.google.com/ Package a web page with a custom application name and icon,pake --name Google --icon path/to/icon.ico https://www.google.com/ Package a web page with a non-resizable window,pake --no-resizable https://www.google.com/ Package a web page with fullscreen mode,pake --fullscreen https://www.google.com/ Package a web page with a transparent title bar,pake --transparent https://www.google.com/ Automatically commit any changes made to a file or directory,gitwatch path/to/file_or_directory Automatically commit changes and push them to a remote repository,gitwatch -r remote_name path/to/file_or_directory Automatically commit changes and push them to a specific branch of a remote repository,gitwatch -r remote_name -b branch_name path/to/file_or_directory Encode a file and print the result to `stdout`,uuencode path/to/input_file output_file_name_after_decoding Encode a file and write the result to a file,uuencode -o path/to/output_file path/to/input_file output_file_name_after_decoding Encode a file using Base64 instead of the default uuencode encoding and write the result to a file,uuencode -m -o path/to/output_file path/to/input_file output_file_name_after_decoding Unregister an existing VM,VBoxManage unregistervm uuid|vm_name "Delete hard disk image files, all saved state files, VM logs, and XML VM machine files",VBoxManage unregistervm uuid|vm_name --delete Delete all files from the VM,VBoxManage unregistervm uuid|vm_name --delete-all Lock the display and show a padlock instead of the cursor,xtrlock Display a blank screen as well as the padlock cursor,xtrlock -b Fork the xtrlock process and return immediately,xtrlock -f Generate a 2048bit prime number and display it in hexadecimal,openssl prime -generate -bits 2048 -hex Check if a given number is prime,openssl prime number Extract name and version field,"recsel -p name,version data.rec" "Use ""~"" to match a string with a given regular expression","recsel -e ""field_name ~ 'regular_expression' data.rec""" Use a predicate to match a name and a version,"recsel -e ""name ~ 'regular_expression' && version ~ 'regular_expression'"" data.rec" Install a module globally,yarn global add module_name Install all dependencies referenced in the `package.json` file (the `install` is optional),yarn install Install a module and save it as a dependency to the `package.json` file (add `--dev` to save as a dev dependency),yarn add module_name@version Uninstall a module and remove it from the `package.json` file,yarn remove module_name Interactively create a `package.json` file,yarn init Identify whether a module is a dependency and list other modules that depend upon it,yarn why module_name Convert a string to a QR code and save to an output file,qrencode -o path/to/output_file.png string Convert an input file to a QR code and save to an output file,qrencode -o path/to/output_file.png -r path/to/input_file Convert a string to a QR code and print it in terminal,qrencode -t ansiutf8 string Convert input from pipe to a QR code and print it in terminal,echo string | qrencode -t ansiutf8 View documentation for the original command,tldr clang++ List nodes in the swarm,docker node ls "List tasks running on one or more nodes, defaults to the current node",docker node ps node1 node2 node3 ... Display detailed information on one or more nodes,docker node inspect node1 node2 node3 ... Promote one or more nodes to manager in the swarm,docker node promote node1 node2 node3 ... Demote one or more nodes from manager in the swarm,docker node demote node1 node2 node3 ... Remove one or more nodes from the swarm,docker node rm node1 node2 node3 ... "Update metadata about a node, such as its availability, labels, or roles",docker node update --availability|role|label-add|... active|worker|foo|... node1 Insert a new entry with your editor,jrnl Quickly insert a new entry,jrnl today at 3am: title. content View the last ten entries,jrnl -n 10 View everything that happened from the start of last year to the start of last march,"jrnl -from ""last year"" -until march" "Edit all entries tagged with ""texas"" and ""history""",jrnl @texas -and @history --edit Start reading top-level directory menu,info Start reading at given menu item node from top-level directory,info menu_item Start reading at second menu item within first menu item manual,info first_menu_item second_menu_item Split a file at lines 5 and 23,csplit path/to/file 5 23 Split a file every 5 lines (this will fail if the total number of lines is not divisible by 5),csplit path/to/file 5 {*} "Split a file every 5 lines, ignoring exact-division error",csplit -k path/to/file 5 {*} Split a file at line 5 and use a custom prefix for the output files,csplit path/to/file 5 -f prefix Split a file at a line matching a regular expression,csplit path/to/file /regular_expression/ Convert an image to PDF (Note: Specifying an output filename is optional),a2ping path/to/image.ext path/to/output.pdf Compress the document using the specified method,a2ping --nocompress none|zip|best|flate path/to/file Scan HiResBoundingBox if present (defaults to yes),a2ping --nohires path/to/file Allow page content below and left of the origin (defaults to no),a2ping --below path/to/file Pass extra arguments to `gs`,a2ping --gsextra arguments path/to/file Pass extra arguments to external program (i.e `pdftops`),a2ping --extra arguments path/to/file Display help,a2ping -h Show the current number lock status,numlockx status Turn the number lock on,numlockx on Turn the number lock off,numlockx off Toggle the current state,numlockx toggle Open thunderbird,thunderbird Use a specific user profile,thunderbird -P profile_name Use a specific user profile directory,thunderbird --profile path/to/profile/directory Open the specified mailbox,mutt -f mailbox Send an email and specify a subject and a cc recipient,mutt -s subject -c cc@example.com recipient@example.com Send an email with files attached,mutt -a file1 file2 -- recipient@example.com Specify a file to include as the message body,mutt -i path/to/file recipient@example.com "Specify a draft file containing the header and the body of the message, in RFC 5322 format",mutt -H path/to/file recipient@example.com "Display system information (hostname, kernel, uptime, etc.)",nitch Display [h]elp,nitch --help Display [v]ersion,nitch --version Generate a certificate signing request to be sent to a certificate authority,openssl req -new -sha256 -key filename.key -out filename.csr "Generate a self-signed certificate and a corresponding key-pair, storing both in a file","openssl req -new -x509 -newkey rsa:4096 -keyout filename.key -out filename.cert -subj ""/C=XX/CN=foobar"" -days 365" Convert a PPM image to a PICT file,ppmtopict path/to/file.ppm > path/to/file.pict List all available goenv commands,goenv commands Install a specific version of Golang,goenv install go_version Use a specific version of Golang in the current project,goenv local go_version Set the default Golang version,goenv global go_version List all available Golang versions and highlight the default one,goenv versions Uninstall a given Go version,goenv uninstall go_version Run an executable with the selected Go version,goenv exec go run go_version Inhibit power management,kde-inhibit --power command command_arguments Inhibit screen saver,kde-inhibit --screenSaver command command_arguments "Launch VLC, and inhibit color correction (night mode) while it's running",kde-inhibit --colorCorrect vlc Create a new MSK cluster,"aws kafka create-cluster --cluster-name cluster_name --broker-node-group-info instanceType=instance_type,clientSubnets=subnet_id1 subnet_id2 ... --kafka-version version --number-of-broker-nodes number" Describe a MSK cluster,aws kafka describe-cluster --cluster-arn cluster_arn List all MSK clusters in the current region,aws kafka list-clusters Create a new MSK configuration,aws kafka create-configuration --name configuration_name --server-properties file://path/to/configuration_file.txt Describe a MSK configuration,aws kafka describe-configuration --arn configuration_arn List all MSK configurations in the current region,aws kafka list-configurations Update the MSK cluster configuration,"aws kafka update-cluster-configuration --cluster-arn cluster_arn --configuration-info arn=configuration_arn,revision=configuration_revision" Delete the MSK cluster,aws kafka delete-cluster --cluster-arn cluster_arn Run a jar file,kotlin filename.jar Display Kotlin and JVM version,kotlin -version View the current IFS value,"echo ""$IFS""" Change the IFS value,"IFS="":""" Reset IFS to default,IFS=$' \t\n' Temporarily change the IFS value in a subshell,"(IFS="":""; echo ""one:two:three"")" Make a STUN request,pystun3 Make a STUN request and specify the stun server,pystun3 --stun-host stun.1und1.de Make a STUN request and specify the source port,pystun3 --source-port 7932 Display virtual memory statistics,vmstat Display reports every 2 seconds for 5 times,vmstat 2 5 Execute a shell command on each image in a Netpbm file,pamexec command path/to/image.pam Stop processing if a command terminates with a nonzero exit status,pamexec command path/to/image.pam -check Convert an image from JPEG to PNG,magick convert path/to/input_image.jpg path/to/output_image.png Scale an image to 50% of its original size,magick convert path/to/input_image.png -resize 50% path/to/output_image.png Scale an image keeping the original aspect ratio to a maximum dimension of 640x480,magick convert path/to/input_image.png -resize 640x480 path/to/output_image.png Scale an image to have a specified file size,magick convert path/to/input_image.png -define jpeg:extent=512kb path/to/output_image.jpg Vertically/horizontally append images,magick convert path/to/image1.png path/to/image2.png ... -append|+append path/to/output_image.png Create a GIF from a series of images with 100ms delay between them,magick convert path/to/image1.png path/to/image2.png ... -delay 10 path/to/animation.gif Create an image with nothing but a solid red background,"magick convert -size 800x600 ""xc:#ff0000"" path/to/image.png" Create a favicon from several images of different sizes,magick convert path/to/image1.png path/to/image2.png ... path/to/favicon.ico Provide feedback to the `gcloud` team,gcloud feedback Provide feedback to the `gcloud` team and attach a log file,gcloud feedback --log-file log_file Capture packets from a specific wireless interface,sudo kismet -c wlan0 Monitor multiple channels on a wireless interface,"sudo kismet -c wlan0,wlan1 -m" Capture packets and save them to a specific directory,sudo kismet -c wlan0 -d path/to/output Start Kismet with a specific configuration file,sudo kismet -c wlan0 -f path/to/config.conf Monitor and log data to an SQLite database,sudo kismet -c wlan0 --log-to-db Monitor using a specific data source,sudo kismet -c wlan0 --data-source=rtl433 Enable alerts for specific events,sudo kismet -c wlan0 --enable-alert=new_ap Display detailed information about a specific AP's packets,sudo kismet -c wlan0 --info BSSID List all available cameras,uvcdynctrl -l Use a specific device (defaults to `video0`),uvcdynctrl -d device_name List available controls,uvcdynctrl -c "Set a new control value (for negative values, use `-- -value`)",uvcdynctrl -s control_name value Get the current control value,uvcdynctrl -g control_name Save the state of the current controls to a file,uvcdynctrl -W filename Load the state of the controls from a file,uvcdynctrl -L filename "Call the first target specified in the Mkfile (usually named ""all"")",mk Call a specific target,mk target "Call a specific target, executing 4 jobs at a time in parallel",NPROC=4 mk target "Force mking of a target, even if source files are unchanged",mk -wtarget target "Assume all targets to be out of date. Thus, update `target` and all of its dependencies",mk -a target Keep going as far as possible on error,mk -k Create a new Laravel application,lambo new app_name Open the configuration in your default editor,lambo edit-config Open the configuration in a specific editor,"lambo edit-config --editor=""path/to/editor""" Open the configuration file that is run after new applications have been scaffolded,lambo edit-after Store Git credentials for a specific amount of time,git config credential.helper 'cache --timeout=time_in_seconds' Display the search path used to find man pages,manpath Show the entire global manpath,manpath --global Print the completion script to `stdout`,rustup completions bash|elvish|fish|powershell|zsh rustup|cargo Rank a mirror list,rankmirrors /etc/pacman.d/mirrorlist Output only a given number of the top ranking servers,rankmirrors -n number /etc/pacman.d/mirrorlist Be verbose when generating the mirrorlist,rankmirrors -v /etc/pacman.d/mirrorlist Test only a specific URL,rankmirrors --url url Output only the response times instead of a full mirrorlist,rankmirrors --times /etc/pacman.d/mirrorlist "Cancel a stack's currently running update, if any",pulumi cancel stack_name "Skip confirmation prompts, and proceed with cancellation anyway",pulumi cancel --yes Display help,pulumi cancel --help "List the sizes of a directory and any subdirectories, in the given unit (B/KiB/MiB)",du -b|k|m path/to/directory "List the sizes of a directory and any subdirectories, in human-readable form (i.e. auto-selecting the appropriate unit for each size)",du -h path/to/directory "Show the size of a single directory, in human-readable units",du -sh path/to/directory List the human-readable sizes of a directory and of all the files and directories within it,du -ah path/to/directory "List the human-readable sizes of a directory and any subdirectories, up to N levels deep",du -h --max-depth=N path/to/directory "List the human-readable size of all `.jpg` files in subdirectories of the current directory, and show a cumulative total at the end",du -ch */*.jpg List all files and directories (including hidden ones) above a certain [t]hreshold size (useful for investigating what is actually taking up the space),du --all --human-readable --threshold 1G|1024M|1048576K .[^.]* * Update `ibmcloud` to the latest version,ibmcloud update Install the Cloud Foundry module for accessing Cloud Foundry services,ibmcloud cf install List all available IBM Cloud regions,ibmcloud regions Display help,ibmcloud help Display help for a subcommand,ibmcloud help subcommand Display version,ibmcloud version List the services on a Docker daemon,docker service ls Create a new service,docker service create --name service_name image:tag Display detailed information about one or more services,docker service inspect service_name_or_ID1 service_name_or_ID2 List the tasks of one or more services,docker service ps service_name_or_ID1 service_name_or_ID2 ... Scale to a specific number of replicas for a space-separated list of services,docker service scale service_name=count_of_replicas Remove one or more services,docker service rm service_name_or_ID1 service_name_or_ID2 Convert a HTML document into PDF,wkhtmltopdf input.html output.pdf Specify the PDF page size (please see `PaperSize` of `QPrinter` for supported sizes),wkhtmltopdf --page-size A4 input.html output.pdf Set the PDF page margins,wkhtmltopdf --margin-top|bottom|left|right 10mm input.html output.pdf Set the PDF page orientation,wkhtmltopdf --orientation Landscape|Portrait input.html output.pdf Generate a greyscale version of the PDF document,wkhtmltopdf --grayscale input.html output.pdf Delete merged branches,git delete-merged-branches Show the battery icon in the system tray,cbatticon Show the battery icon and set the update interval to 20 seconds,cbatticon --update-interval 20 List available icon types,cbatticon --list-icon-types Show the battery icon with a specific icon type,cbatticon --icon-type standard|notification|symbolic List available power supplies,cbatticon --list-power-supplies Show the battery icon for a specific battery,cbatticon BAT0 Show the battery icon and which command to execute when the battery level reaches the set critical level,cbatticon --critical-level 5 --command-critical-level poweroff Convert a file to a C source file and header and display it to the console,wasm2c file.wasm Write the output to a given file (`file.h` gets additionally generated),wasm2c file.wasm -o file.c Remove a Distrobox container (Tip: Stop the container before removing it),distrobox-rm container_name Remove a Distrobox container forcefully,distrobox-rm container_name --force Display all devices detected by fwupd,fwupdmgr get-devices Download the latest firmware metadata from LVFS,fwupdmgr refresh List the updates available for devices on your system,fwupdmgr get-updates Install firmware updates,fwupdmgr update Synchronize and set date and time,sudo ntpdate host Query the host without setting the time,ntpdate -q host Use an unprivileged port in case a firewall is blocking privileged ports,sudo ntpdate -u host Force time to be stepped using `settimeofday` instead of `slewed`,sudo ntpdate -b host Initialize a new or existing Terraform configuration,terraform init Verify that the configuration files are syntactically valid,terraform validate Format configuration according to Terraform language style conventions,terraform fmt Generate and show an execution plan,terraform plan Build or change infrastructure,terraform apply Destroy Terraform-managed infrastructure,terraform destroy Clone a repository to a specified directory,hg clone remote_repository_source destination_path "Clone a repository to the head of a specific branch, ignoring later commits",hg clone --branch branch remote_repository_source "Clone a repository with only the `.hg` directory, without checking out files",hg clone --noupdate remote_repository_source "Clone a repository to a specific revision, tag or branch, keeping the entire history",hg clone --updaterev revision remote_repository_source Clone a repository up to a specific revision without any newer history,hg clone --rev revision remote_repository_source View documentation for the current command,tldr pamstretch List primary and secondary groups of a specific user,sudo lid username List users of a specific group,sudo lid --group name Lookup the IP(s) associated with a hostname (A records),dog example.com Query the MX records type associated with a given domain name,dog example.com MX Specify a specific DNS server to query (e.g. Cloudflare),dog example.com MX @1.1.1.1 Query over TCP rather than UDP,dog example.com MX @1.1.1.1 --tcp Query the MX records type associated with a given domain name over TCP using explicit arguments,dog --query example.com --type MX --nameserver 1.1.1.1 --tcp Lookup the IP(s) associated with a hostname (A records) using DNS over HTTPS (DoH),dog example.com --https @https://cloudflare-dns.com/dns-query Check a specific script file for syntax errors,grub-script-check path/to/grub_config_file Display each line of input after reading it,grub-script-check --verbose Display help,grub-script-check --help Display version,grub-script-check --version Restore an unstaged file to the version of the current commit (HEAD),git restore path/to/file Restore an unstaged file to the version of a specific commit,git restore --source commit path/to/file Discard all unstaged changes to tracked files,git restore :/ Unstage a file,git restore --staged path/to/file Unstage all files,git restore --staged :/ "Discard all changes to files, both staged and unstaged",git restore --worktree --staged :/ Interactively select sections of files to restore,git restore --patch List available profiles,tuned-adm list Show the currently active profile,tuned-adm active Set a specific tuning profile,tuned-adm profile profile_name Recommend a suitable profile based on the current system,tuned-adm recommend Disable tuning,tuned-adm off View documentation for the original command,tldr musescore Run a JavaScript file,node path/to/file Start a REPL (interactive shell),node Execute the specified file restarting the process when an imported file is changed (requires Node.js version 18.11+),node --watch path/to/file Evaluate JavaScript code by passing it as an argument,"node -e ""code""" "Evaluate and print the result, useful to print node's dependencies versions","node -p ""process.versions""" "Activate inspector, pausing execution until a debugger is connected once source code is fully parsed",node --no-lazy --inspect-brk path/to/file Create a new version containing all the changes in the current checkout; user will be prompted for a comment,fossil commit "Create a new version containing all the changes in the current checkout, using the specified comment","fossil commit --comment ""comment""" Create a new version containing all the changes in the current checkout with a comment read from a specific file,fossil commit --message-file path/to/commit_message_file Create a new version containing changes from the specified files; user will be prompted for a comment,fossil commit path/to/file1 path/to/file2 ... Share result of command,gotty command Share with write permission,gotty -w shell Share with credential (Basic Auth),gotty -w -c username:password shell Initialize a backup repository in the specified local directory,restic init --repo path/to/repository Backup a directory to the repository,restic --repo path/to/repository backup path/to/directory Show backup snapshots currently stored in the repository,restic --repo path/to/repository snapshots Restore a specific backup snapshot to a target directory,restic --repo path/to/repository restore latest|snapshot_id --target path/to/target Restore a specific path from a specific backup to a target directory,restic --repo path/to/repository restore snapshot_id --target path/to/target --include path/to/restore Clean up the repository and keep only the most recent snapshot of each unique backup,restic forget --keep-last 1 --prune Render a PNG image with a filename based on the input filename and output format (uppercase -O),patchwork -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),patchwork -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",patchwork -T format -O path/to/input.gv Render a `gif` image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | patchwork -T gif > path/to/image.gif" Display help,patchwork -? Generate a convolution kernel,pgmkernel width height > path/to/output.pgm Generate a quadratic convolution kernel,pgmkernel size > path/to/output.pgm Specify the weight of the center in the generated kernel,pgmkernel -weight value width height > path/to/output.pgm Show instances created by current user,show instances; Describe the details of an instance,desc instance instance_id; Check the status of an instance,status instance_id; "Wait on the termination of an instance, printing log and progress information until then",wait instance_id; Kill an instance,kill instance_id; Convert a QRT file to a PPM image,qrttoppm path/to/file.qrt > path/to/image.ppm Show system information,archey Copy a file from local to a specific bucket,aws s3 cp path/to/file s3://bucket_name/path/to/remote_file Copy a specific S3 object into another bucket,aws s3 cp s3://bucket_name1/path/to/file s3://bucket_name2/path/to/target Copy a specific S3 object into another bucket keeping the original name,aws s3 cp s3://bucket_name1/path/to/file s3://bucket_name2 Copy S3 objects to a local directory recursively,aws s3 cp s3://bucket_name . --recursive Display help,aws s3 cp help Initialize a basic repository in a directory,createrepo path/to/directory "Initialize a repository, exclude test RPMs and display verbose logs",createrepo -v -x test_*.rpm path/to/directory "Initialize a repository, using SHA1 as the checksum algorithm, and ignoring symbolic links",createrepo -S -s sha1 path/to/directory Remove specific files,rm path/to/file1 path/to/file2 ... Remove specific files ignoring nonexistent ones,rm -f path/to/file1 path/to/file2 ... Remove specific files interactively prompting before each removal,rm -i path/to/file1 path/to/file2 ... Remove specific files printing info about each removal,rm -v path/to/file1 path/to/file2 ... Remove specific files and directories recursively,rm -r path/to/file_or_directory1 path/to/file_or_directory2 ... Convert a HP PaintJet file to PPM,pjtoppm path/to/input.pj > path/to/output.ppm Generate documentation from the crate's root,rustdoc src/lib.rs Pass a name for the project,rustdoc src/lib.rs --crate-name name Generate documentation from Markdown files,rustdoc path/to/file.md Specify the output directory,rustdoc src/lib.rs --out-dir path/to/output_directory Recompress a file from `.Z` to gzip format,znew path/to/file1.Z Recompress multiple files and display the achieved size reduction % per file,znew -v path/to/file1.Z path/to/file2.Z ... Recompress a file using the slowest compression method (for optimal compression),znew -9 path/to/file1.Z "Recompress a file, [K]eeping the `.Z` file if it is smaller than the gzip file",znew -K path/to/file1.Z Install packages from a Brewfile at the current path,brew bundle Install packages from a specific Brewfile at a specific path,brew bundle --file path/to/file Create a Brewfile from all installed packages,brew bundle dump Uninstall all formulae not listed in the Brewfile,brew bundle cleanup --force Check if there is anything to install or upgrade in the Brewfile,brew bundle check List all entries in the Brewfile,brew bundle list --all Change to desktop mode,steamos-session-select plasma Change to gamemode,steamos-session-select gamescope Change to Wayland desktop mode,steamos-session-select plasma-wayland-persistent Change to X11 desktop mode,steamos-session-select plasma-x11-persistent Show changed files which are not yet added for commit,git status Give output in [s]hort format,git status --short Show [v]erbose information on changes in both the staging area and working directory,git status --verbose --verbose Show the [b]ranch and tracking info,git status --branch Show output in [s]hort format along with [b]ranch info,git status --short --branch Show the number of entries currently stashed away,git status --show-stash Don't show untracked files in the output,git status --untracked-files=no View information about the latest version of a package,npm view package View information about a specific version of a package,npm view package@version View all available versions of a package,npm view package versions View the description of a package,npm view package description View the dependencies of the latest version of a package,npm view package dependencies View the repository URL of a package,npm view package repository View the maintainers of a package,npm view package maintainers Display each line once,sort path/to/file | uniq Display only unique lines,sort path/to/file | uniq -u Display only duplicate lines,sort path/to/file | uniq -d Display number of occurrences of each line along with that line,sort path/to/file | uniq -c "Display number of occurrences of each line, sorted by the most frequent",sort path/to/file | uniq -c | sort -nr Connect to a database,mysql database_name "Connect to a database, user will be prompted for a password",mysql -u user --password database_name Connect to a database on another host,mysql -h database_host database_name Connect to a database through a Unix socket,mysql --socket path/to/socket.sock Execute SQL statements in a script file (batch file),"mysql -e ""source filename.sql"" database_name" Restore a database from a backup created with `mysqldump` (user will be prompted for a password),mysql --user user --password database_name < path/to/backup.sql Restore all databases from a backup (user will be prompted for a password),mysql --user user --password < path/to/backup.sql Search for a pattern within a compressed file,"bzgrep ""search_pattern"" path/to/file" "Use extended regular expressions (supports `?`, `+`, `{}`, `()` and `|`), in case-insensitive mode","bzgrep --extended-regexp --ignore-case ""search_pattern"" path/to/file" "Print 3 lines of context around, before, or after each match","bzgrep --context|before-context|after-context=3 ""search_pattern"" path/to/file" Print file name and line number for each match,"bzgrep --with-filename --line-number ""search_pattern"" path/to/file" "Search for lines matching a pattern, printing only the matched text","bzgrep --only-matching ""search_pattern"" path/to/file" Recursively search files in a bzip2 compressed tar archive for a pattern,"bzgrep --recursive ""search_pattern"" path/to/tar/file" Search `stdin` for lines that do not match a pattern,"cat /path/to/bz/compressed/file | bzgrep --invert-match ""search_pattern""" List vulnerable installed packages on the current host,debsecan List vulnerable installed packages of a specific suite,debsecan --suite release_code_name List only fixed vulnerabilities,debsecan --suite release_code_name --only-fixed "List only fixed vulnerabilities of unstable (""sid"") and mail to root",debsecan --suite sid --only-fixed --format report --mailto root --update-history Upgrade vulnerable installed packages,sudo apt upgrade $(debsecan --only-fixed --format packages) List all reverse socket connections from emulators and devices,adb reverse --list Reverse a TCP port from an emulator or device to localhost,adb reverse tcp:remote_port tcp:local_port Remove a reverse socket connections from an emulator or device,adb reverse --remove tcp:remote_port Remove all reverse socket connections from all emulators and devices,adb reverse --remove-all Start interactive mode with a specific authority file (defaults to `~/.Xauthority`),xauth -f path/to/file Display information about the authority file,xauth info Display authorization entries for all the displays,xauth list Add an authorization for a specific display,xauth add display_name protocol_name key Remove the authorization for a specific display,xauth remove display_name Print the authorization entry for the current display to `stdout`,xauth extract - $DISPLAY Merge the authorization entries from a specific file into the authorization database,cat path/to/file | xauth merge - Display help,xauth --help "List services available on the local network along with their addresses and ports, ignoring ones on the local machine",avahi-browse --all --resolve --ignore-local Quickly list services in the local network in SSV format for scripts,avahi-browse --all --terminate --parsable List domains in the neighbourhood,avahi-browse --browse-domains Limit the search to a particular domain,avahi-browse --all --domain=domain Check a system for rootkits and malware,sudo rkhunter --check Update rkhunter,sudo rkhunter --update Print all available tests,sudo rkhunter --list Display version,sudo rkhunter --versioncheck Display help,sudo rkhunter --help Execute a process in a given cgroup with given controller,cgexec -g controller:cgroup_name process_name Start an interactive session,ispell Check for typos in the specified file and interactively apply suggestions,ispell path/to/file Display version,ispell -v Log in to a remote host,rlogin remote_host Log in to a remote host with a specific username,rlogin -l username remote_host "Compare PDFs, indicating changes using return codes (`0` = no difference, `1` = PDFs differ)",diff-pdf path/to/a.pdf path/to/b.pdf "Compare PDFs, outputting a PDF with visually highlighted differences",diff-pdf --output-diff=path/to/diff.pdf path/to/a.pdf path/to/b.pdf "Compare PDFs, viewing differences in a simple GUI",diff-pdf --view path/to/a.pdf path/to/b.pdf Transpile a specified input file and output to `stdout`,babel path/to/file Transpile a specified input file and output to a specific file,babel path/to/input_file --out-file path/to/output_file Transpile the input file every time it is changed,babel path/to/input_file --watch Transpile a whole directory of files,babel path/to/input_directory Ignore specified comma-separated files in a directory,"babel path/to/input_directory --ignore ignored_file1,ignored_file2,..." Transpile and output as minified JavaScript,babel path/to/input_file --minified Choose a set of presets for output formatting,"babel path/to/input_file --presets preset1,preset2,..." Display help,babel --help Fix a given NTFS partition,sudo ntfsfix /dev/sdXN List all available Python installations,uv python list Install a Python version,uv python install version Uninstall a Python version,uv python uninstall version Search for a Python installation,uv python find version Pin the current project to use a specific Python version,uv python pin version Show the `uv` Python installation directory,uv python dir Add a user to the current project,add user username; Grant a set of authorities to a user,grant action_list on object_type object_name to user username; Show authorities of a user,show grants for username; Create a user role,create role role_name; Grant a set of authorities to a role,grant action_list on object_type object_name to role role_name; Describe authorities of a role,desc role role_name; Grant a role to a user,grant role_name to username; Execute an expect script from a file,expect path/to/file Execute a specified expect script,"expect -c ""commands""" Enter an interactive REPL (use `exit` or Ctrl + D to exit),expect -i List the name and status of all services,service --status-all Start/Stop/Restart/Reload service (start/stop should always be available),service service_name start|stop|restart|reload Do a full restart (runs script twice with start and stop),service service_name --full-restart Show the current status of a service,service service_name status View documentation for the original command,tldr chromium Start the `atd` daemon,systemctl start atd Create commands interactively and execute them in 5 minutes (press ` + D` when done),at now + 5 minutes Create commands interactively and execute them at a specific time,at hh:mm Execute a command from `stdin` at 10:00 AM today,"echo ""command"" | at 1000" Execute commands from a given file next Tuesday,at -f path/to/file 9:30 PM Tue Convert a PPM image to an ILBM file,ppmtoilbm path/to/file.ppm > path/to/file.ilbm Write a maximum of n planes to the ILBM file and produce a HAM/24bit/direct color file if this number is exceeded,ppmtoilbm -maxplanes n -hamif|24if|dcif path/to/file.ppm > path/to/file.ilbm Produce a ILBM file with exactly n planes,ppmtoilbm -fixplanes n path/to/file.ppm > path/to/file.ilbm Select the compression method to be used,ppmtoilbm -compress|nocompress|savemem path/to/file.ppm > path/to/file.ilbm Run a JavaScript file or a `package.json` script,bun run path/to/file|script_name Run unit tests,bun test Download and install all the packages listed as dependencies in `package.json`,bun install Add a dependency to `package.json`,bun add module_name Remove a dependency from `package.json`,bun remove module_name Create a new Bun project in the current directory,bun init Start a REPL (interactive shell),bun repl Upgrade Bun to the latest version,bun upgrade Create a new repository (requires the `CVSROOT` environment variable to be set externally),cvs -d path/to/repository init Add a project to the repository,"cvs import -m ""message"" project_name version vendor" Checkout a project,cvs checkout project_name Show changes made to files,cvs diff path/to/file Add a file,cvs add path/to/file Commit a file,"cvs commit -m ""message"" path/to/file" Update the working directory from the remote repository,cvs update Add a component to a toolchain,rustup component add --toolchain toolchain component Remove a component from a toolchain,rustup component remove --toolchain toolchain component List installed and available components for a toolchain,rustup component list --toolchain toolchain List installed components for a toolchain,rustup component list --toolchain toolchain --installed Generate completions for Bash,sudo pueue completions bash /usr/share/bash-completion/completions/pueue.bash Generate completions for Zsh,sudo pueue completions zsh /usr/share/zsh/site-functions Generate completions for fish,sudo pueue completions fish /usr/share/fish/completions Start Emacs and open a file,emacs path/to/file Open a file at a specified line number,emacs +line_number path/to/file Run an Emacs Lisp file as a script,emacs --script path/to/file.el Start Emacs in console mode (without an X window),emacs --no-window-system Start an Emacs server in the background (accessible via `emacsclient`),emacs --daemon "Stop a running Emacs server and all its instances, asking for confirmation on unsaved files",emacsclient --eval '(save-buffers-kill-emacs)' Save a file in Emacs," + X, + S" Quit Emacs," + X, + C" Log a message to syslog,logger message Take input from `stdin` and log to syslog,echo log_entry | logger Send the output to a remote syslog server running at a given port. Default port is 514,echo log_entry | logger --server hostname --port port Use a specific tag for every line logged. Default is the name of logged in user,echo log_entry | logger --tag tag Log messages with a given priority. Default is `user.notice`. See `man logger` for all priority options,echo log_entry | logger --priority user.warning Compile Go program in the current directory for all operating systems and architecture combinations,gox Download and compile a Go program from a remote URL,gox url_1 url_2 Compile current directory for a particular operating system,"gox -os=""os""" Compile current directory for a single operating system and architecture combination,"gox -osarch=""os/arch""" Power off ([h]alt) immediately,shutdown -h now [r]eboot immediately,shutdown -r now [r]eboot in 5 minutes,shutdown -r +5 & Shutdown at 1:00 pm (Uses 24[h] clock),shutdown -h 13:00 [c]ancel a pending shutdown/reboot operation,shutdown -c Generate status report with configuration and all active settings,sudo tlp-stat Show information about various devices,sudo tlp-stat --battery|disk|processor|graphics|pcie|rfkill|usb Show verbose information about devices that support verbosity,sudo tlp-stat --verbose --battery|processor|pcie|usb Show configuration,sudo tlp-stat -c|--config Monitor [p]ower supply `udev` [ev]ents,sudo tlp-stat -P|--pev Show [p]ower [sup]ply diagonistics,sudo tlp-stat --psup Show [temp]eratures and fan speed,sudo tlp-stat -t|--temp Show general system information,sudo tlp-stat -s|--system "Read Akebas YUV bytes from the specified input file, convert them to a PPM image and store them in the specified output file",yuvtoppm width height path/to/input_file.yuv > path/to/output_file.ppm Display account info,doctl account get "Show the hourly API limit, progress towards it, and when the rate limit resets",doctl account ratelimit Display help,doctl account --help Show high-level information about disk drives and block devices,udisksctl status Show detailed information about a device,udisksctl info --block-device /dev/sdX Show detailed information about a device partition,udisksctl info --block-device /dev/sdXN Mount a device partition and prints the mount point,udisksctl mount --block-device /dev/sdXN Unmount a device partition,udisksctl unmount --block-device /dev/sdXN Monitor the daemon for events,udisksctl monitor Generate client codes when a project is in `$GOPATH`,kitex path/to/IDL_file.thrift Generate client codes when a project is not in `$GOPATH`,kitex -module github.com/xx-org/xx-name path/to/IDL_file.thrift Generate client codes with protobuf IDL,kitex -type protobuf path/to/IDL_file.proto Generate server codes,kitex -service svc_name path/to/IDL_file.thrift "Initialize the email database, optionally specifying the Maildir directory and email addresses",mu init --maildir=path/to/directory --my-address=name@example.com Index new emails,mu index "Find messages using a specific keyword (in message body, subject, sender, ...)",mu find keyword Find messages to Alice with subject `jellyfish` containing the words `apples` or `oranges`,mu find to:alice subject:jellyfish apples OR oranges Find unread messages about words starting with `soc` (the `*` only works at the end of the search term) in the Sent Items folder,mu find 'subject:soc*' flag:unread maildir:'/Sent Items' "Find messages from Sam with attached images, between 2 KiB and 2 MiB, written in 2021",mu find 'mime:image/* size:2k..2m date:20210101..20211231 from:sam List contacts with `Bob` in either name or email address,mu cfind Bob Display the filename and line number of the source code from an instruction address of an executable,addr2line --exe=path/to/executable address "Display the function name, filename and line number",addr2line --exe=path/to/executable --functions address Demangle the function name for C++ code,addr2line --exe=path/to/executable --functions --demangle address Watch the source for changes and run `rsync` to synchronize files to the destination on every change,lsyncd -rsync path/to/source host::share_name Use SSH instead of `rsyncd` shares,lsyncd -rsyncssh path/to/source host path/to/destination Run the default application in the flake in the current directory,nix run "Run a command whose name matches the package name from nixpkgs (if you want a different command from that package, see `tldr nix3 shell`)",nix run nixpkgs#pkg Run a command with provided arguments,nix run nixpkgs#vim -- path/to/file Run from a remote repository,nix run remote_name:owner/repo "Run from a remote repository using a specific tag, revision or branch",nix run remote_name:owner/repo/reference Run from a remote repository specifying a subdirectory and a program,"nix run ""remote_name:owner/repo?dir=dir_name#app""" Run the flake of a GitHub pull request,nix run github:owner/repo/pull/number/head Save a screenshot with the default file name as a TIFF image,idevicescreenshot Save a screenshot with a specific file name,idevicescreenshot path/to/file.tiff View SteamOS system information,sudo steamos-dump-info Start an interactive session,bc Start an [i]nteractive session with the standard math [l]ibrary enabled,bc --interactive --mathlib Calculate an expression,echo '5 / 3' | bc Execute a script,bc path/to/script.bc Calculate an expression with the specified scale,echo 'scale = 10; 5 / 3' | bc Calculate a sine/cosine/arctangent/natural logarithm/exponential function using `mathlib`,echo 's|c|a|l|e(1)' | bc --mathlib Execute an inline factorial script,"echo ""define factorial(n) { if (n <= 1) return 1; return n*factorial(n-1); }; factorial(10)"" | bc" Print a cow easter egg,apt moo Crawl a list of URLs,"katana -list https://example.com,https://google.com,..." Crawl a [u]RL using headless mode using Chromium,katana -u https://example.com -headless "Use `subfinder` to find subdomains, and then use [p]a[s]sive sources (Wayback Machine, Common Crawl, and AlienVault) for URL discovery",subfinder -list path/to/domains.txt | katana -passive Pass requests through a proxy (http/socks5) and use custom [H]eaders from a file,katana -proxy http://127.0.0.1:8080 -headers path/to/headers.txt -u https://example.com "Specify the crawling [s]trategy, [d]epth of subdirectories to crawl, and rate limiting (requests per second)",katana -strategy depth-first|breadth-first -depth value -rate-limit value -u https://example.com "Find subdomains using `subfinder`, crawl each for a maximum number of seconds, and write results to an [o]utput file",subfinder -list path/to/domains.txt | katana -crawl-duration value -output path/to/output.txt Get all projects,doppler projects Get info for a project,doppler projects get name|project_id Create a project,doppler projects create name Update a project's name and description,"doppler projects update name|project_id --name ""new_name"" --description ""new_description""" Delete a project,doppler projects delete name|project_id Show the current setting of a boolean,getsebool httpd_can_connect_ftp Show the current setting of [a]ll booleans,getsebool -a Show the current setting of all booleans with explanations,sudo semanage boolean -l|--list Call a command and display its output if it returns a non-zero exit code,cronic command Convert a PPM image to a MITSU file,ppmtomitsu path/to/file.ppm > path/to/file.mitsu "Enlarge the image by the specified factor, use the specified sharpness and produce `n` copies",ppmtomitsu -enlarge 1|2|3 -sharpness 1|2|3|4 -copy n path/to/file.ppm > path/to/file.mitsu Use the given medium for the printing process,ppmtomitsu -media A|A4|AS|A4S path/to/file.ppm > path/to/file.mitsu Resume a specific virtual machine,qm resume vm_id Resume a specific virtual machine ignoring locks (requires root),sudo qm resume vm_id --skiplock true Format a file and display the result to the console,gofmt source.go "Format a file, overwriting the original file in-place",gofmt -w source.go "Format a file, and then simplify the code, overwriting the original file",gofmt -s -w source.go Print all (including spurious) errors,gofmt -e source.go Initialize a new Dolt data repository in the current directory,dolt init Initialize a new Dolt data repository creating a commit with the specified metadata,"dolt init --name ""name"" --email ""email"" --date ""2021-12-31T00:00:00"" -b ""branch_name""" Lock the screen,betterlockscreen --lock Change the lock screen background,betterlockscreen -u path/to/image.png "Lock the screen, showing some custom text","betterlockscreen -l pixel -t ""custom lock screen text""" "Lock the screen, with a custom monitor off timeout in seconds",betterlockscreen --off 5 -l Fetch the latest changes from the default remote upstream repository (if set),git fetch Fetch new branches from a specific remote upstream repository,git fetch remote_name Fetch the latest changes from all remote upstream repositories,git fetch --all Also fetch tags from the remote upstream repository,git fetch --tags Delete local references to remote branches that have been deleted upstream,git fetch --prune Display the ASCII fire,cacafire Create a new mkdocs project,mkdocs new project_name Serve the project in the current directory using the mkdocs dev-server,mkdocs serve Build the documentation in the current directory,mkdocs build Deploy the documentation in the current directory to GitHub pages,mkdocs gh-deploy Add a new `apt` repository,apt-add-repository repository_spec Remove an `apt` repository,apt-add-repository --remove repository_spec Update the package cache after adding a repository,apt-add-repository --update repository_spec Enable source packages,apt-add-repository --enable-source repository_spec Format a specific Snakefile,snakefmt path/to/snakefile Format all Snakefiles recursively in a specific directory,snakefmt path/to/directory Format a file using a specific configuration file,snakefmt --config path/to/config.toml path/to/snakefile Format a file using a specific maximum line length,snakefmt --line-length 100 path/to/snakefile Display the changes that would be performed without performing them (dry-run),snakefmt --diff path/to/snakefile Upload a file,ffsend upload path/to/file Download a file,ffsend download url Upload a file with password,ffsend upload path/to/file -p|--password password Download a file protected by password,ffsend download url -p|--password password Upload a file and allow 4 downloads,ffsend upload path/to/file -d|--downloads 4 Generate a configuration file on very first run and display help,spicetify Backup and preprocess Spotify application files,spicetify backup Print all configuration fields and values,spicetify config Change the value of a configuration field,spicetify config field value Apply the customization changes to Spotify,spicetify apply Restore Spotify to its original state,spicetify restore Tag a specific file with multiple tags,tmsu tag path/to/file.mp3 music big-jazz mp3 Tag multiple files,"tmsu tag --tags ""music mp3"" *.mp3" List tags of specified file(s),tmsu tags *.mp3 List files with specified tag(s),tmsu files big-jazz music List files with tags matching boolean expression,"tmsu files ""(year >= 1990 and year <= 2000) and grunge""" Mount tmsu virtual filesystem to an existing directory,tmsu mount path/to/directory Update the list of available packages and slackbuilds,spi --update Install a package or slackbuild,spi --install package/slackbuild_name Upgrade all installed packages to the latest versions available,spi --upgrade Locate packages or slackbuilds by package name or description,spi search_terms Display information about a package or slackbuild,spi --show package/slackbuild_name Purge the local package and slackbuild caches,spi --clean "Join all the lines into a single line, using TAB as delimiter",paste -s path/to/file "Join all the lines into a single line, using the specified delimiter",paste -s -d delimiter path/to/file "Merge two files side by side, each in its column, using TAB as delimiter",paste path/to/file1 path/to/file2 "Merge two files side by side, each in its column, using the specified delimiter",paste -d delimiter path/to/file1 path/to/file2 "Merge two files, with lines added alternatively",paste -d '\n' path/to/file1 path/to/file2 Interactively update modules on a device,circup update Install a new library,circup install library_name Search for a library,circup show partial_name List all libraries on a connected device in `requirements.txt` format,circup freeze Save all libraries on a connected device in the current directory,circup freeze -r Create a Git repository in the current directory and commit all files,git setup Create a Git repository in a specific directory and commit all files,git setup path/to/directory List available kernel symlink targets with their numbers,eselect kernel list Set the `/usr/src/linux` symlink by name or number from the `list` command,eselect kernel set name|number Show what the current kernel symlink points to,eselect kernel show Set the kernel symlink to the currently running kernel,eselect kernel update Print the current directory,pwd "Print the current directory, and resolve all symlinks (i.e. show the ""physical"" path)",pwd -P Import a BSON data dump from a directory to a MongoDB database,mongorestore --db database_name path/to/directory "Import a BSON data dump from a directory to a given database in a MongoDB server host, running at a given port, with user authentication (user will be prompted for password)",mongorestore --host database_host:port --db database_name --username username path/to/directory --password Import a collection from a BSON file to a MongoDB database,mongorestore --db database_name path/to/file "Import a collection from a BSON file to a given database in a MongoDB server host, running at a given port, with user authentication (user will be prompted for password)",mongorestore --host database_host:port --db database_name --username username path/to/file --password Directory and file bruteforce using the specified [w]ordlist and also [p]roxying the traffic,wfuzz -w path/to/file -p 127.0.0.1:8080:HTTP http://example.com/FUZZ Save the results to a [f]ile,wfuzz -w path/to/file -f filename http://example.com/FUZZ Show [c]olorized output while only showing the declared response codes in the output,"wfuzz -c -w path/to/file --sc 200,301,302 http://example.com/FUZZ" Use a custom [H]eader to fuzz subdomains while [h]iding specific response [c]odes and word counts. Increase the [t]hreads to 100 and include the target ip/domain,"wfuzz -w path/to/file -H ""Host: FUZZ.example.com"" --hc 301 --hw 222 -t 100 example.com" "Brute force Basic Authentication using a list of usernames and passwords from files for each FUZ[z] keyword, [h]iding response [c]odes of unsuccessful attempts","wfuzz -c --hc 401 -s delay_between_requests_in_seconds -z file,path/to/usernames -z file,path/to/passwords --basic 'FUZZ:FUZ2Z' https://example.com" Provide wordlist directly from the command-line and use POST request for fuzzing,"wfuzz -z list,word1-word2-... https://api.example.com -d ""id=FUZZ&showwallet=true""" Provide wordlists from a file applying base64 and md5 encoding on them (`wfuzz -e encoders` lists all available encoders),"wfuzz -z file,path/to/file,none-base64-md5 https://example.com/FUZZ" List available encoders/payloads/iterators/printers/scripts,wfuzz -e encoders|payloads|iterators|printers|scripts Search anime by name,"ani-cli ""anime_name""" [d]ownload episode,"ani-cli -d ""anime_name""" Use [v]LC as the media player,"ani-cli -v ""anime_name""" Watch a specific [e]pisode,"ani-cli -e episode_number ""anime_name""" [c]ontinue watching anime from history,ani-cli -c [U]pdate `ani-cli`,ani-cli -U Extract an archive,unp path/to/archive.zip Extract multiple archives,unp path/to/archive1.tar.gz path/to/archive2.rar Remove a LVM label from a physical volume,sudo pvremove /dev/sdXY Display detailed output during the operation,sudo pvremove --verbose /dev/sdXY Remove a LVM label without asking for confirmation,sudo pvremove --yes /dev/sdXY Forcefully remove a LVM label,sudo pvremove --force /dev/sdXY Display output in JSON format,sudo pvremove --reportformat json /dev/sdXY Interactively create a new D project,dub init project_name Non-interactively create a new D project,dub init project_name -n Build and run a D project,dub Install dependencies specified in a D project's `dub.json` or `dub.sdl` file,dub fetch Update the dependencies in a D project,dub upgrade Display help,dub --help Remove a user,sudo userdel username Remove a user in other root directory,sudo userdel -R|--root path/to/other/root username Remove a user along with the home directory and mail spool,sudo userdel -r|--remove username Add a new task which is due tomorrow,task add description due:tomorrow Update a task's priority,task task_id modify priority:H|M|L Complete a task,task task_id done Delete a task,task task_id delete List all open tasks,task list List open tasks due before the end of the week,task list due.before:eow "Show a graphical burndown chart, by day",task burndown.daily List all reports,task reports Compile one or more C# files to a CIL executable,csc path/to/input_file_a.cs path/to/input_file_b.cs Specify the output filename,csc /out:path/to/filename path/to/input_file.cs Compile into a `.dll` library instead of an executable,csc /target:library path/to/input_file.cs Reference another assembly,csc /reference:path/to/library.dll path/to/input_file.cs Embed a resource,csc /resource:path/to/resource_file path/to/input_file.cs Automatically generate XML documentation,csc /doc:path/to/output.xml path/to/input_file.cs Specify an icon,csc /win32icon:path/to/icon.ico path/to/input_file.cs Strongly-name the resulting assembly with a keyfile,csc /keyfile:path/to/keyfile path/to/input_file.cs Log in with an interactive prompt,mozillavpn login Connect to Mozilla VPN,mozillavpn activate Display the connection status,mozillavpn status List available servers,mozillavpn servers Select a specific server,mozillavpn select server_name Disconnect from Mozilla VPN,mozillavpn deactivate Log out,mozillavpn logout Display help for a subcommand,mozillavpn subcommand --help Convert a GIF image to WebP,gif2webp path/to/image.gif -o path/to/image.webp Parse and transform a CSS file,postcss path/to/file Parse and transform a CSS file and output to a specific file,postcss path/to/file --output path/to/file Parse and transform a CSS file and output to a specific directory,postcss path/to/file --dir path/to/directory Parse and transform a CSS file in-place,postcss path/to/file --replace Specify a custom PostCSS parser,postcss path/to/file --parser parser Specify a custom PostCSS syntax,postcss path/to/file --syntax syntax Watch for changes to a CSS file,postcss path/to/file --watch Display help,postcss --help Run a SYN scan against default (top 100) ports of remote host,sudo naabu -host host Display available network interfaces and public IP address of the local host,naabu -interface-list Scan all ports of the remote host (CONNECT scan without `sudo`),naabu -p - -host host Scan the top 1000 ports of the remote host,naabu -top-ports 1000 -host host "Scan TCP ports 80, 443 and UDP port 53 of the remote host","naabu -p 80,443,u:53 -host host" "Show CDN type the remote host is using, if any","naabu -p 80,443 -cdn -host host" Run `nmap` from `naabu` for additional functionalities (`nmap` must be installed),sudo naabu -v -host host -nmap-cli 'nmap -v -T5 -sC' Open the front page,/front Open a subreddit,/r/subreddit_name Expand/collapse comments, Open link,o Log in,u Display help,? "Check for typos in all text files in the current directory, recursively",codespell Correct all typos found in-place,codespell --write-changes Skip files with names that match the specified pattern (accepts a comma-separated list of patterns using wildcards),"codespell --skip ""pattern""" Use a custom dictionary file when checking (`--dictionary` can be used multiple times),codespell --dictionary path/to/file.txt Do not check words that are listed in the specified file,codespell --ignore-words path/to/file.txt Do not check the specified words,"codespell --ignore-words-list ignored_word1,ignored_word2,..." "Print 3 lines of context around, before or after each match",codespell --context|before-context|after-context 3 "Check file names for typos, in addition to file contents",codespell --check-filenames Display keys which are currently being pressed on the screen,screenkey Display keys and mouse buttons which are currently being pressed on the screen,screenkey --mouse Launch the settings menu of screenkey,screenkey --show-settings Launch screenkey at a specific position,screenkey --position top|center|bottom|fixed Change the format of the key modifiers displayed on screen,screenkey --mods-mode normal|emacs|mac|win|tux Change the appearance of screenkey,"screenkey --bg-color ""#a1b2c3"" --font Hack --font-color yellow --opacity 0.8" Drag and select a window on screen to display screenkey,screenkey --position fixed --geometry $(slop -n -f '%g') Initialize a Rust project with a binary target in the current directory,cargo init Initialize a Rust project with a binary target in the specified directory,cargo init path/to/directory Initialize a Rust project with a library target in the current directory,cargo init --lib Initialize a version control system repository in the project directory (default: `git`),cargo init --vcs git|hg|pijul|fossil|none Set the package name (default: directory name),cargo init --name name Build changed packages in the specified pull request,nixpkgs-review pr pr_number|pr_url "Build changed packages and post a comment with a report (requires setting up a token in `hub`, `gh`, or the `GITHUB_TOKEN` environment variable)",nixpkgs-review pr --post-result pr_number|pr_url Build changed packages and print a report,nixpkgs-review pr --print-result pr_number|pr_url Build changed packages in a local commit,nixpkgs-review rev HEAD Build changed packages that haven't been committed yet,nixpkgs-review wip Build changed packages that have been staged,nixpkgs-review wip --staged "Run each command, providing each one with a distinct copy of `stdin`",pee command1 command2 ... Write a copy of `stdin` to `stdout` (like `tee`),pee cat command1 command2 ... Immediately terminate upon SIGPIPEs and write errors,pee --no-ignore-sigpipe --no-ignore-write-errors command1 command2 ... Convert an XIM image to a PPM image,ximtoppm path/to/input_file.xim > path/to/output_file.ppm Store the transparency mask of the input image in the specified file,ximtoppm --alphaout path/to/alpha_file.pbm path/to/input_file.xim > path/to/output_file.ppm Enable `picom` during a session,picom & Start `picom` as a background process,picom -b Use a custom configuration file,picom --config path/to/config_file Query your system's default name server for an IP address (A record) of the domain,nslookup example.com Query a given name server for a NS record of the domain,nslookup -type=NS example.com 8.8.8.8 Query for a reverse lookup (PTR record) of an IP address,nslookup -type=PTR 54.240.162.118 Query for ANY available records using TCP protocol,nslookup -vc -type=ANY example.com Query a given name server for the whole zone file (zone transfer) of the domain using TCP protocol,nslookup -vc -type=AXFR example.com name_server "Query for a mail server (MX record) of the domain, showing details of the transaction",nslookup -type=MX -debug example.com Query a given name server on a specific port number for a TXT record of the domain,nslookup -port=port_number -type=TXT example.com name_server Reset all tracked files and delete all untracked files,git clear-soft Display all updates,snap --nosplash --nogui --modules --list --refresh Display help,snap --help Follow the output of a task (`stdout` + `stderr`),pueue follow task_id Follow `stderr` of a task,pueue follow --err task_id Connect to a music player daemon on a given host and port,ncmpcpp --host ip --port port Display metadata of the current song to console,ncmpcpp --current-song Use a specified configuration file,ncmpcpp --config file Use a different set of key bindings from a file,ncmpcpp --bindings file Follow the logs of activity on the account,stripe logs tail "Listen for events, filtering on events with the name `charge.succeeded` and forwarding them to localhost:3000/events","stripe listen --events=""charge.succeeded"" --forward-to=""localhost:3000/events""" Send a test webhook event,stripe trigger charge.succeeded Create a customer,"stripe customers create --email=""test@example.com"" --name=""Jenny Rosen""" Print to JSON,stripe listen --print-json Send the patches between the current branch and its upstream to a pastebin using `pastebinit`,git paste "Pass options to `git format-patch` in order to select a different set of commits (`@^` selects the parent of HEAD, and so the currently checked out commit is sent)",git paste @^ Interactively install drivers for your device,ikaros install device Automatically install the recommended drivers for your device,ikaros auto-install device List devices,ikaros list-devices Compile a NSIS script,makensis path/to/file.nsi Compile a NSIS script in strict mode (treat warnings as errors),makensis -WX path/to/file.nsi Display help for a specific command,makensis -CMDHELP command Go to the specified directory,cd path/to/directory Go up to the parent of the current directory,cd .. Go to the home directory of the current user,cd Go to the home directory of the specified user,cd ~username Go to the previously chosen directory,cd - Go to the root directory,cd / View documentation for the current command,tldr pamtogif Send a message to the `security` topic,"ntfy pub security ""Front door has been opened.""" "Send with a title, priority and tags","ntfy publish --title=""Someone bought your item"" --priority=high --tags=duck ebay ""Someone just bought your item: Platypus Sculpture""" Send at 8:30am,"ntfy pub --at=8:30am delayed_topic ""Time for school, sleepyhead...""" Trigger a webhook,ntfy trigger my_webhook Subscribe to a topic (Ctrl-C to stop listening),ntfy sub home_automation Display help,ntfy --help Decompose one or more graphs into their biconnected components,bcomps path/to/input1.gv path/to/input2.gv ... > path/to/output.gv Print the number of blocks and cutvertices in one or more graphs,bcomps -v -s path/to/input1.gv path/to/input2.gv ... Write each block and block-cutvertex tree to multiple numbered filenames based on `output.gv`,bcomps -x -o path/to/output.gv path/to/input1.gv path/to/input2.gv ... Display help,bcomps -? Create a storage account specifying a [l]ocation,az storage account create --resource-group group_name --name account_name -l location --sku account_sku List all storage accounts in a resource group,az storage account list --resource-group group_name List the access keys for a storage account,az storage account keys list --resource-group group_name --name account_name Delete a storage account,az storage account delete --resource-group group_name --name account_name Update the minimum tls version setting for a storage account,az storage account update --min-tls-version TLS1_0|TLS1_1|TLS1_2 --resource-group group_name --name account_name Create a new user with a default home directory and prompt the user to set a password,adduser username Create a new user without a home directory,adduser --no-create-home username Create a new user with a home directory at the specified path,adduser --home path/to/home username Create a new user with the specified shell set as the login shell,adduser --shell path/to/shell username Create a new user belonging to the specified group,adduser --ingroup group username Compile a bitcode or IR file to an assembly file with the same base name,llc path/to/file.ll Enable all optimizations,llc -O3 path/to/input.ll Output assembly to a specific file,llc --output path/to/output.s "Emit fully relocatable, position independent code",llc -relocation-model=pic path/to/input.ll Rebuild the database schema,cradle sql build Rebuild the database schema for a specific package,cradle sql build package Empty the entire database,cradle sql flush Empty the database tables for a specific package,cradle sql flush package Populate the tables for all packages,cradle sql populate Populate the tables for a specific package,cradle sql populate package Open the current directory in IntelliJ IDEA,idea path/to/directory Open a specific file or directory in IntelliJ IDEA,idea path/to/file_or_directory Open the diff viewer to compare up to 3 files,idea diff path/to/file1 path/to/file2 path/to/optional_file3 Open the merge dialog to perform a two-way file merge,idea merge path/to/file1 path/to/file2 path/to/output Run code inspections on a project,idea inspect path/to/project_directory path/to/inspection_profile path/to/output Open a file for editing,mg path/to/file Open a file at a specified line number,mg +line_number path/to/file Open files in a read-only mode,mg -R path/to/file1 path/to/file2 ... Disable `~` backup files while editing,mg -n path/to/file Move the cursor to a screen location,tput cup row column Set foreground (af) or background (ab) color,tput setaf|setab ansi_color_code "Show number of columns, lines, or colors",tput cols|lines|colors Ring the terminal bell,tput bel Reset all terminal attributes,tput sgr0 Enable or disable word wrap,tput smam|rmam Update the list of available packages and versions (it's recommended to run this before other `paci` commands),paci refresh Configure its behaviour,paci configure Search for a given package,paci search package Install a package,paci install package Update a package,paci update package "Call the first target specified in the Makefile (usually named ""all"")",make Call a specific target,make target "Call a specific target, executing 4 jobs at a time in parallel",make -j4 target Use a specific Makefile,make --file path/to/file Execute make from another directory,make --directory path/to/directory "Force making of a target, even if source files are unchanged",make --always-make target Override a variable defined in the Makefile,make target variable=new_value Override variables defined in the Makefile by the environment,make --environment-overrides target View documentation for the original command,tldr clang Convert an RPM package to a `cpio` archive and save it as `file.cpio` in the current directory,rpm2cpio path/to/file.rpm List nodes including the total CPU and Memory resource requests and limits,kube-capacity Include pods,kube-capacity -p Include utilization,kube-capacity -u Run a Python web app,waitress-serve import.path:wsgi_func Listen on port 8080 on localhost,waitress-serve --listen=localhost:8080 import.path:wsgi_func Start waitress on a Unix socket,waitress-serve --unix-socket=path/to/socket import.path:wsgi_func Use 4 threads to process requests,waitress-serve --threads=4 import.path:wsgifunc Call a factory method that returns a WSGI object,waitress-serve --call import.path.wsgi_factory Use the HTTPS URL scheme,waitress-serve --url-scheme=https import.path:wsgi_func "Run `pnmquant` on multiple files with the specified parameters, overwriting the original files",pnmquantall n_colors path/to/input1.pnm path/to/input2.pnm ... "Save the quantised images to files named the same as the input files, but with the specified extension appended",pnmquantall -ext extension n_colors path/to/input1.pnm path/to/input2.pnm ... Start a server to distribute e-books. Access at ,calibre-server Start server on different port. Access at ,calibre-server --port port Password protect the server,calibre-server --username username --password password Authenticate to Immich server,immich login server_url/api server_key Upload some image files,immich upload file1.jpg file2.jpg Upload a directory including subdirectories,immich upload --recursive path/to/directory Create an album based on a directory,"immich upload --album-name ""My summer holiday"" --recursive path/to/directory" Skip assets matching a glob pattern,immich upload --ignore **/Raw/** **/*.tif --recursive path/to/directory Include hidden files,immich upload --include-hidden --recursive path/to/directory Concatenate specific files in reversed order,tac path/to/file1 path/to/file2 ... Display `stdin` in reversed order,cat path/to/file | tac Use a specific [s]eparator,tac -s separator path/to/file1 path/to/file2 ... Use a specific [r]egex as a [s]eparator,tac -r -s separator path/to/file1 path/to/file2 ... Use a separator [b]efore each file,tac -b path/to/file1 path/to/file2 ... View documentation for the original command,tldr ptpython Show the system's DNS domain name,dnsdomainname Walk the SNMP tree of host with public string querying all OIDs under `.1.3.6`,braa public@ip:.1.3.6.* Query the whole subnet `ip_range` for `system.sysLocation.0`,braa public@ip_range:.1.3.6.1.2.1.1.6.0 Attempt to set the value of `system.sysLocation.0` to a specific workgroup,braa private@ip:.1.3.6.1.2.1.1.6.0=s'workgroup' Show pipe delimited cluster utilization data,sreport --parsable cluster utilization Show number of jobs run,sreport job sizes printjobcount Show users with the highest CPU time use,sreport user topuser Watch a binary file (defaults to `.goreload`),goreload -b path/to/binary path/to/file.go Set a custom log prefix (defaults to `goreload`),goreload --logPrefix prefix path/to/file.go Reload whenever any file changes,goreload --all Move a single file (open an editor with the source filename on the left and the target filename on the right),qmv source_file Move multiple JPEG files,qmv *.jpg Move multiple directories,qmv -d path/to/directory1 path/to/directory2 path/to/directory3 Move all files and directories inside a directory,qmv --recursive path/to/directory "Move files, but swap the positions of the source and the target filenames in the editor",qmv --option swap *.jpg "Rename all files and folders in the current directory, but show only target filenames in the editor (you can think of it as a kind of simple mode)",qmv --format=do . Show full table of all RPC services registered on localhost,rpcinfo Show concise table of all RPC services registered on localhost,rpcinfo -s localhost Display table of statistics of rpcbind operations on localhost,rpcinfo -m Display list of entries of given service name (mountd) and version number (2) on a remote nfs share,rpcinfo -l remote_nfs_server_ip mountd 2 Delete the registration for version 1 of the mountd service for all transports,rpcinfo -d mountd 1 Create an 'fsdax' mode namespace,ndctl create-namespace --mode=fsdax Change the mode of a namespace to 'raw',ndctl create-namespace --reconfigure=namespaceX.Y --mode=raw "Check a sector mode namespace for consistency, and repair if needed",ndctl check-namespace --repair namespaceX.Y "List all namespaces, regions, and buses (including disabled ones)",ndctl list --namespaces --regions --buses --idle List a specific namespace and include lots of additional information,ndctl list -vvv --namespace=namespaceX.Y Run a monitor to watch for SMART health events for NVDIMMs on the 'ACPI.NFIT' bus,ndctl monitor --bus=ACPI.NFIT Remove a namespace (when applicable) or reset it to an initial state,ndctl destroy-namespace --force namespaceX.Y Update the operating system,steamos-update Check if there is an update available,steamos-update check Update PlatformIO to the latest version,pio upgrade Update PlatformIO to the latest development (unstable) version,pio upgrade --dev Make a search using Google,tuxi search_terms "Display the search results in [r]aw format (no pretty output, no colors)",tuxi -r search_terms "Display only search results (silences ""Did you mean?"", greetings and usage)",tuxi -q search_terms Display help,tuxi -h Create a basic cluster,eksctl create cluster List the details about a cluster or all of the clusters,eksctl get cluster --name=name --region=region Create a cluster passing all configuration information in a file,eksctl create cluster --config-file=path/to/file Create a cluster using a configuration file and skip creating nodegroups until later,eksctl create cluster --config-file= --without-nodegroup Delete a cluster,eksctl delete cluster --name=name --region=region Create cluster and write cluster credentials to a file other than the default,eksctl create cluster --name=name --nodes=4 --kubeconfig=path/to/config.yaml Create a cluster and prevent storing cluster credentials locally,eksctl create cluster --name=name --nodes=4 --write-kubeconfig=false Create a cluster and let `eksctl` manage cluster credentials under the `~/.kube/eksctl/clusters` directory,eksctl create cluster --name=name --nodes=4 --auto-kubeconfig Print the dependency tree of a specific package,pactree package Print what packages depend on a specific package,pactree --reverse package "Dump dependencies one per line, skipping duplicates",pactree --unique package Include optional dependencies of a specific package and colorize the output,pactree --optional --color package Display help,pactree Start Flips to create and apply patches interactively,flips Apply a patch and create a new ROM file,flips --apply patch.bps rom.smc hack.smc Create a patch from two ROMs,flips --create rom.smc hack.smc patch.bps Link a specific object file with no dependencies into an executable,ld path/to/file.o --output path/to/output_executable Link two object files together,ld path/to/file1.o path/to/file2.o --output path/to/output_executable Dynamically link an x86_64 program to glibc (file paths change depending on the system),ld --output path/to/output_executable --dynamic-linker /lib/ld-linux-x86-64.so.2 /lib/crt1.o /lib/crti.o -lc path/to/file.o /lib/crtn.o Install cookbook dependencies into a local repo,berks install Update a specific cookbook and its dependencies,berks update cookbook Upload a cookbook to the Chef server,berks upload cookbook View the dependencies of a cookbook,berks contingent cookbook List domains,cli53 list Create a domain,"cli53 create mydomain.com --comment ""comment""" Export a bind zone file to `stdout`,cli53 export mydomain.com Create a `www` subdomain pointing to a relative record in the same zone,cli53 rc|rrcreate mydomain.com 'www 300 CNAME lb' Create a `www` subdomain pointing to an external address (must end with a dot),cli53 rc|rrcreate mydomain.com 'www 300 CNAME lb.externalhost.com.' Create a `www` subdomain pointing to an IP address,cli53 rc|rrcreate mydomain.com 'www 300 A 150.130.110.1' Replace a `www` subdomain pointing to a different IP,cli53 rc|rrcreate --replace 'www 300 A 150.130.110.2' Delete a record A,cli53 rd|rrdelete mydomain.com www A List all secrets,k8sec list List a specific secret as a base64-encoded string,k8sec list secret_name --base64 Set a secret's value,k8sec set secret_name key=value Set a base64-encoded value,k8sec set --base64 secret_name key=encoded_value Unset a secret,k8sec unset secret_name Load secrets from a file,k8sec load -f path/to/file secret_name Dump secrets to a file,k8sec dump -f path/to/file secret_name List running containers,sudo nixos-container list Create a NixOS container with a specific configuration file,sudo nixos-container create container_name --config-file nix_config_file_path "Start, stop, terminate, or destroy a specific container",sudo nixos-container start|stop|terminate|destroy|status container_name Run a command in a running container,sudo nixos-container run container_name -- command command_arguments Update a container configuration,sudo $EDITOR /var/lib/container/container_name/etc/nixos/configuration.nix && sudo nixos-container update container_name Enter an interactive shell session on an already-running container,sudo nixos-container root-login container_name Start the daemon,eww daemon Open a widget,eww -c path/to/source_code_directory open window_name Close a widget,eww -c path/to/source_code_directory close window_name Reload the configuration,eww reload Kill the daemon,eww kill Print and watch logs,eww logs Create a new project,tmuxinator new project Edit a project,tmuxinator edit project List projects,tmuxinator list Start a tmux session based on project,tmuxinator start project Stop a project's tmux session,tmuxinator stop project Check the correctness of the current project's manifest,cargo verify-project Check the correctness of the specified manifest file,cargo verify-project --manifest-path path/to/Cargo.toml Display the contents of the file with underlines where applicable,ul file.txt Display the contents of the file with underlines made of dashes `-`,ul -i file.txt View documentation for the original command,tldr xz Search a single directory,jdupes path/to/directory Search multiple directories,jdupes directory1 directory2 Search all directories recursively,jdupes --recurse path/to/directory Search directory recursively and let user choose files to preserve,jdupes --delete --recurse path/to/directory "Search multiple directories and follow subdirectores under directory2, not directory1",jdupes directory1 --recurse: directory2 Search multiple directories and keep the directory order in result,jdupes -O directory1 directory2 directory3 "Probe the chip, ensuring the wiring is correct",flashrom --programmer programmer Read flash and save it to a file,flashrom -p programmer --read path/to/file Write a file to the flash,flashrom -p programmer --write path/to/file Verify the flash against a file,flashrom -p programmer --verify path/to/file Probe the chip using Raspberry Pi,flashrom -p linux_spi:dev=/dev/spidev0.0 List snapshot configs,snapper list-configs Create snapper config,snapper -c config create-config path/to/directory Create a snapshot with a description,"snapper -c config create -d ""snapshot_description""" List snapshots for a config,snapper -c config list Delete a snapshot,snapper -c config delete snapshot_number Delete a range of snapshots,snapper -c config delete snapshot1-snapshot2 Start a headless `transmission` session,transmission-daemon Start and watch a specific directory for new torrents,transmission-daemon --watch-dir path/to/directory Dump daemon settings in JSON format,transmission-daemon --dump-settings > path/to/file.json Start with specific settings for the web interface,transmission-daemon --auth --username username --password password --port 9091 --allowed 127.0.0.1 Rebase the current branch on top of another using a merge commit and only one conflict handling,git psykorebase upstream_branch Continue after conflicts have been handled,git psykorebase --continue Specify the branch to rebase,git psykorebase upstream_branch target_branch Decompile a Dex file into a directory,jadx path/to/file Decompile a Dex file into a specific directory,jadx --output-dir path/to/directory path/to/file Start the GUI,maestral gui Print current status of Maestral,maestral status Pause syncing,maestral pause Resume syncing,maestral resume Print sync status of a specific file or folder,maestral filestatus path/to/file_or_directory Run the compiler interactively,prqlc compile Compile a specific `.prql` file to `stdout`,prqlc compile path/to/file.prql Compile a `.prql` file to a `.sql` file,prqlc compile path/to/source.prql path/to/target.sql Compile a query,"echo ""from employees | filter has_dog | select salary"" | prqlc compile" Watch a directory and compile on file modification,prqlc watch path/to/directory "Embed data in a PNG, prompting for a passphrase",steghide embed --coverfile path/to/image.png --embedfile path/to/data.txt Extract data from a WAV audio file,steghide extract --stegofile path/to/sound.wav "Display file information, trying to detect an embedded file",steghide info path/to/file.jpg "Embed data in a JPEG image, using maximum compression",steghide embed --coverfile path/to/image.jpg --embedfile path/to/data.txt --compress 9 Get the list of supported encryption algorithms and modes,steghide encinfo "Embed encrypted data in a JPEG image, e.g. with Blowfish in CBC mode",steghide embed --coverfile path/to/image.jpg --embedfile path/to/data.txt --encryption blowfish|... cbc|... Display a list of available aliased Phars,phive list Install a specified Phar to the local directory,phive install alias|url Install a specified Phar globally,phive install alias|url --global Install a specified Phar to a target directory,phive install alias|url --target path/to/directory Update all Phar files to the latest version,phive update Remove a specified Phar file,phive remove alias|url Remove unused Phar files,phive purge List all available commands,phive help Detect the version of a remote NFS server,nxc nfs 192.168.178.0/24 List the available NFS shares,nxc nfs 192.168.178.2 --shares Enumerate the exposed shares recursively to the specified depth,nxc nfs 192.168.178.2 --enum-shares 5 Download the specified remote file,nxc nfs 192.168.178.2 --get-file path/to/remote_file path/to/local_file Upload the specified local file to the remote share,nxc nfs 192.168.178.2 --put-file path/to/local_file path/to/remote_file Run an Elixir file,elixir path/to/file Evaluate Elixir code by passing it as an argument,"elixir -e ""code""" Start the interactive TUI,ghcup tui List available GHC/cabal versions,ghcup list Install the recommended GHC version,ghcup install ghc Install a specific GHC version,ghcup install ghc version "Set the currently ""active"" GHC version",ghcup set ghc version Install cabal-install,ghcup install cabal Update `ghcup` itself,ghcup upgrade List the current user's home directory contents,ls ~ List the home directory contents of another user,ls ~username List the contents of the previous directory you were in,ls ~- Create a managed container registry,az acr create --name registry_name --resource-group resource_group --sku sku Login to a registry,az acr login --name registry_name Tag a local image for ACR,docker tag image_name registry_name.azurecr.io/image_name:tag Push an image to a registry,docker push registry_name.azurecr.io/image_name:tag Pull an image from a registry,docker pull registry_name.azurecr.io/image_name:tag Delete an image from a registry,az acr repository delete --name registry_name --repository image_name:tag Delete a managed container registry,az acr delete --name registry_name --resource-group resource_group --yes List images within a registry,az acr repository list --name registry_name --output table Perform a simple DNS lookup,doggo example.com Query MX records using a specific nameserver,doggo MX codeberg.org @1.1.1.2 Use DNS over HTTPS,doggo example.com @https://dns.quad9.net/dns-query Output in the JSON format,doggo example.com --json | jq '.responses[0].answers[].address' Perform a reverse DNS lookup,doggo --reverse 8.8.4.4 --short Perform the default task in the `build.xml` file,phing Initialize a new build file,phing -i path/to/build.xml Perform a specific task,phing task_name Use the given build file path,phing -f path/to/build.xml task_name Log to the given file,phing -logfile path/to/log_file task_name Use custom properties in the build,phing -Dproperty=value task_name Specify a custom listener class,phing -listener class_name task_name Build using verbose output,phing -verbose task_name "View a summary of all the commits made, grouped alphabetically by author name",git shortlog "View a summary of all the commits made, sorted by the number of commits made",git shortlog -n|--numbered "View a summary of all the commits made, grouped by the committer identities (name and email)",git shortlog -c|--committer View a summary of the last 5 commits (i.e. specify a revision range),git shortlog HEAD~5..HEAD "View all users, emails and the number of commits in the current branch",git shortlog -s|--summary -n|--numbered -e|--email "View all users, emails and the number of commits in all branches",git shortlog -s|--summary -n|--numbered -e|--email --all Forward all IPv4 TCP traffic via a remote SSH server,sshuttle --remote=username@sshserver 0.0.0.0/0 Also forward all DNS traffic to the server's default DNS resolver,sshuttle --dns --remote=username@sshserver 0.0.0.0/0 Forward all traffic except that which is bound for a specific subnet,sshuttle --remote=username@sshserver 0.0.0.0/0 --exclude 192.168.0.1/24 Use the tproxy method to forward all IPv4 and IPv6 traffic,sshuttle --method=tproxy --remote=username@sshserver 0.0.0.0/0 ::/0 --exclude=your_local_ip_address --exclude=ssh_server_ip_address Create an exfat filesystem inside partition 1 on device b (`sdb1`),mkfs.exfat /dev/sdb1 Create filesystem with a volume-name,mkfs.exfat -n volume_name /dev/sdb1 Create filesystem with a volume-id,mkfs.exfat -i volume_id /dev/sdb1 Build a sketch,arduino --verify path/to/file.ino Build and upload a sketch,arduino --upload path/to/file.ino "Build and upload a sketch to an Arduino Nano with an Atmega328p CPU, connected on port `/dev/ttyACM0`",arduino --board arduino:avr:nano:cpu=atmega328p --port /dev/ttyACM0 --upload path/to/file.ino Set the preference `name` to a given `value`,arduino --pref name=value "Build a sketch, put the build results in the build directory, and reuse any previous build results in that directory",arduino --pref build.path=path/to/build_directory --verify path/to/file.ino Save any (changed) preferences to `preferences.txt`,arduino --save-prefs Install the latest SAM board,"arduino --install-boards ""arduino:sam""" Install Bridge and Servo libraries,"arduino --install-library ""Bridge:1.0.0,Servo:1.2.0""" Build a `.js` or `.vue` file in production mode with zero config,vue build filename List password information for the user,chage --list username Enable password expiration in 10 days,sudo chage --maxdays 10 username Disable password expiration,sudo chage --maxdays -1 username Set account expiration date,sudo chage --expiredate YYYY-MM-DD username Force user to change password on next log in,sudo chage --lastday 0 username Search for valid domain credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc ldap 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Enumerate active domain users,nxc ldap 192.168.178.2 -u username -p password --active-users Collect data about the targeted domain and automatically import these data into BloodHound,nxc ldap 192.168.178.2 -u username -p password --bloodhound --collection All Attempt to collect AS_REP messages for the specified user in order to perform an ASREPRoasting attack,nxc ldap 192.168.178.2 -u username -p '' --asreproast path/to/output.txt Attempt to extract the passwords of group managed service accounts on the domain,nxc ldap 192.168.178.2 -u username -p password --gmsa Bundle a Node.js application,ncc build path/to/file.js Bundle and minify a Node.js application,ncc build --minify path/to/file.js Bundle and minify a Node.js application and generate source maps,ncc build --source-map path/to/file.js Automatically recompile on changes to source files,ncc build --watch path/to/file.js Bundle a Node.js application into a temporary directory and run it for testing,ncc run path/to/file.js Clean the `ncc` cache,ncc clean cache View documentation for the original command,tldr chromium Display available interfaces,dumpcap --list-interfaces Capture packets on a specific interface,dumpcap --interface 1 Capture packets to a specific location,dumpcap --interface 1 -w path/to/output_file.pcapng Write to a ring buffer with a specific max file limit of a specific size,dumpcap --interface 1 -w path/to/output_file.pcapng --ring-buffer filesize:500000 --ring-buffer files:10 Create a `toolbox` container for a specific distribution,toolbox create --distro distribution Create a `toolbox` container for a specific release of the current distribution,toolbox create --release release Create a `toolbox` container with a custom image,toolbox create --image name Create a `toolbox` container from a custom Fedora image,toolbox create --image registry.fedoraproject.org/fedora-toolbox:39 Create a `toolbox` container using the default image for Fedora 39,toolbox create --distro fedora --release f39 Register the current directory as a workspace,git bulk --addcurrent workspace_name Register a workspace for bulk operations,git bulk --addworkspace workspace_name /absolute/path/to/repository "Clone a repository inside a specific directory, then register the repository as a workspace",git bulk --addworkspace workspace_name /absolute/path/to/parent_directory --from remote_repository_location "Clone repositories from a newline-separated list of remote locations, then register them as workspaces",git bulk --addworkspace workspace_name /path/to/root/directory --from /path/to/file List all registered workspaces,git bulk --listall Run a Git command on the repositories of the current workspace,git bulk command command_arguments Remove a specific workspace,git bulk --removeworkspace workspace_name Remove all workspaces,git bulk --purge Debug an executable,lldb executable Attach `lldb` to a running process with a given PID,lldb -p pid "Wait for a new process to launch with a given name, and attach to it",lldb -w -n process_name Find subdomains for a specific [d]omain,subfinder -d example.com Show only the subdomains found,subfinder -silent -d example.com Show only active subdomains,subfinder -nW -d example.com Use all sources for enumeration,subfinder -all -d example.com Use a given comma-separated list of [r]esolvers,"subfinder -r 8.8.8.8,1.1.1.1,... -d example.com" Create a new Django project,django-admin startproject project_name Create a new app for the current project,django-admin startapp app_name Check the current version of Django,django-admin --version Display help for a specific command,django-admin help command Compile a package,gradle build Exclude test task,gradle build -x test Run in offline mode to prevent Gradle from accessing the network during builds,gradle build --offline Clear the build directory,gradle clean Build an Android Package (APK) in release mode,gradle assembleRelease List the main tasks,gradle tasks List all the tasks,gradle tasks --all "Write test files to a given directory, filling the drive",f3write path/to/mount_point Limit the write speed,f3write --max-write-rate=kb_per_second path/to/mount_point Initialize a website,hexo init path/to/directory Create a new article,hexo new layout title Generate static files,hexo generate Start a local server,hexo server Deploy the website,hexo deploy Clean the cache file (`db.json`) and generated files (`public/`),hexo clean Get temporary security credentials to access specific AWS resources,aws sts assume-role --role-arn aws_role_arn Get an IAM user or role whose credentials are used to call the operation,aws sts get-caller-identity List all available plugins,mise plugins list-all Install a plugin,mise plugins add name List runtime versions available for install,mise ls-remote name Install a specific version of a package,mise install name@version Set global version for a package,mise use --global name@version Set local version for a package,mise use name@version Set environment variable in configuration,mise set variable=value View documentation for the original command,tldr xz Display the number of unsupported packages,ubuntu-security-status List packages that are no longer available for download,ubuntu-security-status --unavailable List third-party packages,ubuntu-security-status --thirdparty Get the status of the current mirrors,shiny-mirrors status Generate a mirror list using the default behavior,sudo shiny-mirrors refresh Display the current configuration file,shiny-mirrors config show Switch to a different branch interactively,sudo shiny-mirrors config --branch Calculate the current compression ratio for a file or directory,sudo compsize path/to/file_or_directory Don't traverse filesystem boundaries,sudo compsize --one-file-system path/to/file_or_directory Show raw byte counts instead of human-readable sizes,sudo compsize --bytes path/to/file_or_directory Clear the terminal screen,wipeclean Set the animation speed in frames per second (defaults to 150),wipeclean --speed speed Write a specific image to a specific block device,rpi-imager --cli path/to/image.zip /dev/sdX "Write a specific image to a block device, disabling the checksum verification",rpi-imager --cli --disable-verify path/to/image.zip /dev/sdX "Write a specific image to a block device, which will expect a specific checksum when running the verification",rpi-imager --cli --sha256 expected_hash path/to/image.zip /dev/sdX Select a region and print it to `stdout`,slurp "Select a region and print it to `stdout`, while displaying the dimensions of the selection",slurp -d Select a single point instead of a region,slurp -p Select an output and print its name,slurp -o -f '%o' "Select a specific region and take a borderless screenshot of it, using `grim`","grim -g ""$(slurp -w 0)""" "Select a specific region and take a borderless video of it, using `wf-recorder`","wf-recorder --geometry ""$(slurp -w 0)""" Monitor all occurring X events,xev Monitor all X events of the root window instead of creating a new one,xev -root Monitor all X events of a particular window,xev -id window_id Monitor X events from a given category (can be specified multiple times),xev -event event_category Start `minikube` with a specific Kubernetes version,minikube start --kubernetes-version v1.24.0 "Start `minikube` with specific resource allocations (e.g., memory and CPU)",minikube start --memory 2048 --cpus 2 "Start `minikube` with a specific driver (e.g., VirtualBox)",minikube start --driver virtualbox Start `minikube` in the background (headless mode),minikube start --background "Start `minikube` with custom add-ons (e.g., the metrics server)",minikube start --addons metrics-server "Configure the snippet manager, e.g. to set the security token from QOwnNotes",qc configure Search and print command snippets stored in your `Commands.md` note and all your notes tagged with `commands`,qc search Execute a snippet and show the command before executing,qc exec --command Execute the last snippet and show the command before executing,qc exec --command --last Switch between note folders in QOwnNotes,qc switch Convert a PNG to a vector image format,vectorize-pixelart path/to/input.png path/to/output.svg|.eps Return a successful exit code,: Make a command always exit with 0,command || : Remove the most recent commit,git undo Remove a specific number of the most recent commits,git undo 3 Compile a PDF document,pdftex source.tex "Compile a PDF document, specifying an output directory",pdftex -output-directory=path/to/directory source.tex "Compile a PDF document, exiting on each error",pdftex -halt-on-error source.tex Initialize a new GitHub CLI extension project in a directory of the same name,gh extension create extension_name Install an extension from a GitHub repository,gh extension install owner/repository List installed extensions,gh extension list Upgrade a specific extension,gh extension upgrade extension_name Upgrade all extensions,gh extension upgrade --all List installed extensions,gh extension list Remove an extension,gh extension remove extension_name Display help about a subcommand,gh extension subcommand --help Apply the Rules of Life to an input PBM image file for one generation and the output the result as a PBM image file,pbmlife path/to/file.pbm Display version,pbmlife -version Start an interactive session,swipl Execute a command without showing any output,"swipl --quiet -t ""command""" Execute a script,swipl path/to/file.pl Print all shell configuration variables,swipl --dump-runtime-variables Display version,swipl --version Run `trayer`,trayer Position `trayer` to a specific edge,trayer --edge left|right|top|bottom Provide a specific height and width of the panel (in pixels),trayer --width 10 --height 32 Provide the width of the panel in pixels or percentages,trayer --widthtype pixel|percent --width 72 Align `trayer` to a specific direction,trayer --align left|center|right Provide spacing between icons (in pixels),trayer --iconspacing 10 Run backups using the default `phpbu.xml` configuration file,phpbu Run backups using a specific configuration file,phpbu --configuration=path/to/configuration_file.xml Only run the specified backups,phpbu --limit=backup_task_name Simulate the actions that would have been performed,phpbu --simulate Lookup the IP(s) associated with a hostname (A records),drill example.com Lookup the mail server(s) associated with a given domain name (MX record),drill mx example.com Get all types of records for a given domain name,drill any example.com Specify an alternate DNS server to query,drill example.com @8.8.8.8 Perform a reverse DNS lookup on an IP address (PTR record),drill -x 8.8.8.8 Perform DNSSEC trace from root servers down to a domain name,drill -TD example.com Show DNSKEY record(s) for a domain name,drill -s dnskey example.com Decode a file that was encoded with `uuencode` and print the result to `stdout`,uudecode path/to/encoded_file Decode a file that was encoded with `uuencode` and write the result to a file,uudecode -o path/to/decoded_file path/to/encoded_file "Perform a full update of all packages, development platforms and global libraries",pio update Update core packages only (skips platforms and libraries),pio update --core-packages "Check for new versions of packages, platforms and libraries but do not actually update them",pio update --dry-run Encrypt a file and set a specific name,systemd-creds encrypt --name=name path/to/input_file path/to/output Decrypt the file again,systemd-creds decrypt path/to/input_file path/to/output_file Encrypt text from `stdin`,echo -n text | systemd-creds encrypt --name=name - path/to/output Encrypt the text and append it to the service file (the credentials will be available in `$CREDENTIALS_DIRECTORY`),echo -n text | systemd-creds encrypt --name=name --pretty - - >> service Create a credential that is only valid until the given timestamp,"systemd-creds encrypt --not-after=""timestamp"" path/to/input_file path/to/output_file" Start `fastd` with a specific configuration file,fastd --config path/to/fastd.conf "Start a Layer 3 VPN with an MTU of 1400, loading the rest of the configuration parameters from a file",fastd --mode tap --mtu 1400 --config path/to/fastd.conf Validate a configuration file,fastd --verify-config --config path/to/fastd.conf Generate a new keypair,fastd --generate-key Show the public key to a private key in a configuration file,fastd --show-key --config path/to/fastd.conf Show the current version,fastd -v Start in chat mode,chatgpt Give a [p]rompt to answer to,"chatgpt --prompt ""What is the regex to match an email address?""" Start in chat mode using a specific [m]odel (default is `gpt-3.5-turbo`),chatgpt --model gpt-4 Start in chat mode with an [i]nitial prompt,"chatgpt --init-prompt ""You are Rick, from Rick and Morty. Respond to questions using his mannerism and include insulting jokes.""" Pipe the result of a command to `chatgpt` as a prompt,"echo ""How to view running processes on Ubuntu?"" | chatgpt" Generate an image using DALL-E,"chatgpt --prompt ""image: A white cat""" Download a [c]ourse using cookie-based authentication,llvd -c course-slug --cookies Download a course at a specific [r]esolution,llvd -c course-slug -r 720 Download a course with [ca]ptions (subtitles),llvd -c course-slug --caption Download a course [p]ath with [t]hrottling between 10 to 30 seconds,"llvd -p path-slug -t 10,30 --cookies" Create a new searchable PDF/A file from a scanned PDF or image file,ocrmypdf path/to/input_file path/to/output.pdf Replace a scanned PDF file with a searchable PDF file,ocrmypdf path/to/file.pdf path/to/file.pdf Skip pages of a mixed-format input PDF file that already contain text,ocrmypdf --skip-text path/to/input.pdf path/to/output.pdf "Clean, de-skew, and rotate pages of a poor scan",ocrmypdf --clean --deskew --rotate-pages path/to/input_file path/to/output.pdf Set the metadata of the searchable PDF file,"ocrmypdf --title ""title"" --author ""author"" --subject ""subject"" --keywords ""keyword; key phrase; ..."" path/to/input_file path/to/output.pdf" Display help,ocrmypdf --help Enter a `toolbox` container using the default image of a specific distribution,toolbox enter --distro distribution Enter a `toolbox` container using the default image of a specific release of the current distribution,toolbox enter --release release Enter a toolbox container using the default image for Fedora 39,toolbox enter --distro fedora --release f39 Install a specific PHP extension,pickle install extension_name Convert an existing PECL extension configuration to a Pickle configuration file,pickle convert path/to/directory Validate a PECL extension,pickle validate path/to/directory Package a PECL extension for release,pickle release path/to/directory Install a specific version of Node.js,nvm install node_version Use a specific version of Node.js in the current shell,nvm use node_version Set the default Node.js version,nvm alias default node_version List all available Node.js versions and highlight the default one,nvm list Uninstall a given Node.js version,nvm uninstall node_version Launch the REPL of a specific version of Node.js,nvm run node_version --version Execute a script in a specific version of Node.js,nvm exec node_version node app.js "Build overview images of a raster dataset using the ""average"" [r]esampling method",gdaladdo -r average path/to/input.tif Add the latest version of a dependency to the current project,cargo add dependency Add a specific version of a dependency,cargo add dependency@version Add a dependency and enable one or more specific features,"cargo add dependency --features feature_1,feature_2" "Add an optional dependency, which then gets exposed as a feature of the crate",cargo add dependency --optional Add a local crate as a dependency,cargo add --path path/to/crate_directory Add a development or build dependency,cargo add dependency --dev|build Add a dependency with all default features disabled,cargo add dependency --no-default-features Change the group ID (and drop supplemental groups) before processing client requests,slurmrestd --g group_id [host]:port | unix:/path/to/socket Comma-delimited list of authentication plugins to load,slurmrestd -a authentication_plugins [host]:port | unix:/path/to/socket Read Slurm configuration from the specified file,slurmrestd -f path/to/file Change user ID before processing client request,slurmrestd -u user_id Display help,slurmrestd -h Display version,slurmrestd -V "Assemble a file, writing the output to `a.out`",as path/to/file.s Assemble the output to a given file,as path/to/file.s -o path/to/output_file.o Generate output faster by skipping whitespace and comment preprocessing. (Should only be used for trusted compilers),as -f path/to/file.s Include a given path to the list of directories to search for files specified in `.include` directives,as -I path/to/directory path/to/file.s Execute a specific command via a guest agent,qm guest exec vm_id command argument1 argument2 ... Execute a specific command via a guest agent asynchronously,qm guest exec vm_id argument1 argument2 ... --synchronous 0 Execute a specific command via a guest agent with a specified timeout of 10 seconds,qm guest exec vm_id argument1 argument2... --timeout 10 Execute a specific command via a guest agent and forward input from `stdin` until EOF to the guest agent,qm guest exec vm_id argument1 argument2 ... --pass-stdin 1 Find (passively) subdomains of a [d]omain,amass enum -d domain_name Find subdomains of a [d]omain and actively verify them attempting to resolve the found subdomains,"amass enum -active -d domain_name -p 80,443,8080" Do a brute force search for sub[d]omains,amass enum -brute -d domain_name Save the results to a text file,amass enum -o output_file -d domain_name Save terminal output to a file and other detailed output to a directory,amass enum -o output_file -dir path/to/directory -d domain_name List all available data sources,amass enum -list "List all files in a Zip file in long format (permissions, ownership, size, and modification date)",zipinfo path/to/archive.zip List all files in a Zip file,zipinfo -1 path/to/archive.zip Open a new VPN connection,sudo f5fpc --start Open a new VPN connection to a specific host,sudo f5fpc --start --host host.example.com Specify a username (user will be prompted for a password),sudo f5fpc --start --host host.example.com --username user Show the current VPN status,sudo f5fpc --info Shutdown the VPN connection,sudo f5fpc --stop Check if a directory is a mountpoint,mountpoint path/to/directory Check if a directory is a mountpoint without showing any output,mountpoint -q path/to/directory Show major/minor numbers of a mountpoint's filesystem,mountpoint --fs-devno path/to/directory Launch the screenshooter GUI,xfce4-screenshooter Take a screenshot of the entire screen and launch the GUI to ask how to proceed,xfce4-screenshooter --fullscreen Take a screenshot of the entire screen and save it in the specified directory,xfce4-screenshooter --fullscreen --save path/to/directory Wait some time before taking the screenshot,xfce4-screenshooter --delay seconds Take a screenshot of a region of the screen (select using the mouse),xfce4-screenshooter --region "Take a screenshot of the active window, and copy it to the clipboard",xfce4-screenshooter --window --clipboard "Take a screenshot of the active window, and open it with a chosen program",xfce4-screenshooter --window --open gimp Convert an SGI image to a PNM file,sgitopnm path/to/input.sgi > path/to/output.pnm Display information about the SGI file,sgitopnm -verbose path/to/input.sgi > path/to/output.pnm Extract channel n of the SGI file,sgitopnm -channel n path/to/input.sgi > path/to/output.pnm View a CSV file,csvlook data.csv Create a new React Native project,ignite new project_name Generate file from a plugin,ignite generate plugin_name path/to/file Add an Ignite plugin to the project,ignite add plugin_name Remove an Ignite plugin from the project,ignite remove plugin_name Make a desktop app for a website,nativefier url Create a desktop app with a custom name,nativefier --name name url "Use a custom icon, should be a PNG",nativefier --icon path/to/icon.png url Parse optional `verbose`/`version` flags with shorthands,"getopt --options vV --longoptions verbose,version -- --version --verbose" Add a `--file` option with a required argument with shorthand `-f`,getopt --options f: --longoptions file: -- --file=somefile "Add a `--verbose` option with an optional argument with shorthand `-v`, and pass a non-option parameter `arg`",getopt --options v:: --longoptions verbose:: -- --verbose arg "Accept a `-r` and `--verbose` flag, a `--accept` option with an optional argument and add a `--target` with a required argument option with shorthands","getopt --options rv::s::t: --longoptions verbose,source::,target: -- -v --target target" Generate font cache files,fc-cache "Force a rebuild of all font cache files, without checking if cache is up-to-date",fc-cache -f "Erase font cache files, then generate new font cache files",fc-cache -r Check whether the recorded BLE communications contain the packets necessary for recovering temporary keys (TKs),crackle -i path/to/input.pcap Use brute force to recover the TK of the recorded pairing events and use it to decrypt all subsequent communications,crackle -i path/to/input.pcap -o path/to/decrypted.pcap Use the specified long-term key (LTK) to decrypt the recorded communication,crackle -i path/to/input.pcap -o path/to/decrypted.pcap -l 81b06facd90fe7a6e9bbd9cee59736a7 Insert an additional author to the last Git commit,git coauthor name name@example.com "Abort a Git rebase, merge, or cherry-pick",git abort Connect to a share (user will be prompted for password; `exit` to quit the session),smbclient //server/share Connect with a different username,smbclient //server/share --user username Connect with a different workgroup,smbclient //server/share --workgroup domain --user username Connect with a username and password,smbclient //server/share --user username%password Download a file from the server,"smbclient //server/share --directory path/to/directory --command ""get file.txt""" Upload a file to the server,"smbclient //server/share --directory path/to/directory --command ""put file.txt""" List the shares from a server anonymously,smbclient --list=server --no-pass Create a xar archive of all files in a given directory,xar -cf archive.xar path/to/directory List the contents of a given xar archive,xar -tf archive.xar Extract the contents of a given xar archive to the current directory,xar -xf archive.xar "Convert a PPM image to an ANSI ISO 6429 ASCII image, mapping each pixel to an individual character",ppmtoterm path/to/input.ppm > path/to/output.txt Start Caddy in the foreground,caddy run Start Caddy with the specified Caddyfile,caddy run --config path/to/Caddyfile Start Caddy in the background,caddy start Stop a background Caddy process,caddy stop Run a simple file server on the specified port with a browsable interface,caddy file-server --listen :8000 --browse Run a reverse proxy server,caddy reverse-proxy --from :80 --to localhost:8000 Run a `doctl databases replica` command with an access token,doctl databases pool command --access-token access_token Retrieve information about a read-only database replica,doctl databases replica get database_id replica_name Retrieve list of read-only database replicas,doctl databases replica list database_id Create a read-only database replica,doctl databases replica create database_id replica_name Delete a read-only database replica,doctl databases replica delete database_id replica_name Clone a repository using its slug (owners can omit the username),hub clone username/repo_name Create a fork of the current repository (cloned from another user) under your GitHub profile,hub fork Push the current local branch to GitHub and create a PR for it in the original repository,hub push remote_name && hub pull-request "Create a PR of the current (already pushed) branch, reusing the message from the first commit",hub pull-request --no-edit Create a new branch with the contents of a pull request and switch to it,hub pr checkout pr_number Upload the current (local-only) repository to your GitHub account,hub create Fetch Git objects from upstream and update local branches,hub sync Bind a hotkey to a specific command,"bindkey ""^k"" kill-line" Bind a hotkey to a specific key [s]equence,bindkey -s '^o' 'cd ..\n' [l]ist keymaps,bindkey -l View the hotkey in a key[M]ap,bindkey -M main View documentation for the original command,tldr pio debug Return a list of installed fonts in your system,fc-list Return a list of installed fonts with given name,fc-list | grep 'DejaVu Serif' Return the number of installed fonts in your system,fc-list | wc -l Convert a visual builder integration,zapier convert integration_id path/to/directory Convert a visual builder integration with a specific version,zapier convert integration_id path/to/directory -v|--version=version Show extra debugging output,zapier convert --debug Play/pause audio playback,audtool playback-playpause "Print artist, album, and song name of currently playing song",audtool current-song Set volume of audio playback,audtool set-volume 100 Skip to the next song,audtool playlist-advance Print the bitrate of the current song in kilobits,audtool current-song-bitrate-kbps Open Audacious in full-screen if hidden,audtool mainwin-show Display help,audtool help Display settings,audtool preferences-show Convert a PPM image to a LEAF file,ppmtoleaf path/to/file.ppm > path/to/file.leaf Extract an archive to the current directory,unar path/to/archive Extract an archive to the specified directory,unar -o path/to/directory path/to/archive Force overwrite if files to be unpacked already exist,unar -f path/to/archive Force rename if files to be unpacked already exist,unar -r path/to/archive Force skip if files to be unpacked already exist,unar -s path/to/archive "Send a specific number of disassociate packets given an access point's MAC address, a client's MAC address and an interface",sudo aireplay-ng --deauth count --bssid ap_mac --dmac client_mac interface Show logs for a single-container pod,kubectl logs pod_name Show logs for a specified container in a pod,kubectl logs --container container_name pod_name Show logs for all containers in a pod,kubectl logs --all-containers=true pod_name Stream pod logs,kubectl logs --follow pod_name "Show pod logs newer than a relative time like `10s`, `5m`, or `1h`",kubectl logs --since=relative_time pod_name Show the 10 most recent logs in a pod,kubectl logs --tail=10 pod_name Show all pod logs for a given deployment,kubectl logs deployment/deployment_name List login failures of the current user,faillock Reset the failure records of the current user,faillock --reset List login failures of all users,sudo faillock List login failures of the specified user,sudo faillock --user user Reset the failure records of the specified user,sudo faillock --user user --reset Diff the changes of two individual commits,git range-diff commit_1^! commit_2^! "Diff the changes of ours and theirs from their common ancestor, e.g. after an interactive rebase",git range-diff theirs...ours "Diff the changes of two commit ranges, e.g. to check whether conflicts have been resolved appropriately when rebasing commits from `base1` to `base2`",git range-diff base1..rev1 base2..rev2 Create and run a virtual machine from a configuration file,quickemu --vm path/to/file.conf Do not commit any changes to disk/snapshot but write any changes to temporary files,quickemu --status-quo --vm path/to/file.conf Start the virtual machine in full-screen mode ( + + f to exit) and select the display backend (`sdl` by default),quickemu --fullscreen --display sdl|gtk|spice|spice-app|none --vm path/to/file.conf Select a virtual audio device to emulate and create a desktop shortcut,quickemu --sound-card intel-hda|ac97|es1370|sb16|none --shortcut --vm path/to/file.conf Create a snapshot,quickemu --snapshot create tag --vm path/to/file.conf Restore a snapshot,quickemu --snapshot apply tag --vm path/to/file.conf Delete a snapshot,quickemu --snapshot delete tag --vm path/to/file.conf "Run text-to-speech with the default models, writing the output to ""tts_output.wav""","tts --text ""text""" List provided models,tts --list_models Query info for a model by idx,tts --model_info_by_idx model_type/model_query_idx Query info for a model by name,tts --model_info_by_name model_type/language/dataset/model_name Run a text-to-speech model with its default vocoder model,"tts --text ""text"" --model_name model_type/language/dataset/model_name" Run your own text-to-speech model (using the Griffin-Lim vocoder),"tts --text ""text"" --model_path path/to/model.pth --config_path path/to/config.json --out_path path/to/file.wav" Remove first column of `stdin`,colrm 1 1 Remove from 3rd column till the end of each line,colrm 3 Remove from the 3rd column till the 5th column of each line,colrm 3 5 Display a PBM image on an AT&T 4425 terminal using the terminal's mosaic graphics character set,pbmto4425 path/to/image.pbm Print the package names of the dependencies that aren't installed,pacman --deptest package1 package2 ... Check if the installed package satisfies the given minimum version,"pacman --deptest ""bash>=5""" Check if a later version of a package is installed,"pacman --deptest ""bash>5""" Display help,pacman --deptest --help Create a shadow directory in the current directory,lndir path/to/directory Yank the specified version of a crate,cargo yank crate@version Undo a yank (i.e. allow downloading it again),cargo yank --undo crate@version Use the specified registry (registry names can be defined in the configuration - the default is ),cargo yank --registry name crate@version Create a new `resume.json` file in the current working directory,resume init Validate a `resume.json` against schema tests to ensure it complies with the standard,resume validate Export a resume locally in a stylized HTML or PDF format,resume export path/to/html_or_pdf Start a web server that serves a local `resume.json`,resume serve Display the conan frogarian,conan frogarian Create an mdbook project in the current directory,mdbook init Create an mdbook project in a specific directory,mdbook init path/to/directory Clean the directory with the generated book,mdbook clean "Serve a book at , auto build when file changes",mdbook serve Watch a set of Markdown files and automatically build when a file is changed,mdbook watch Remove a package,xbps-remove package Remove a package and its dependencies,xbps-remove --recursive package Remove orphan packages (installed as dependencies but no longer required by any package),xbps-remove --remove-orphans Remove obsolete packages from the cache,xbps-remove --clean-cache Show the repository browser for the current Git repository,gitk Show repository browser for a specific file or directory,gitk path/to/file_or_directory Show commits made since 1 week ago,"gitk --since=""1 week ago""" Show commits older than 1/1/2016,"gitk --until=""1/1/2015""" Show at most 100 changes in all branches,gitk --max-count=100 --all Print the time and memory usage of a command,runlim command command_arguments Log statistics to a file instead of `stdout`,runlim --output-file=path/to/file command command_arguments Limit time to an upper bound (in seconds),runlim --time-limit=number command command_arguments Limit real-time to an upper bound (in seconds),runlim --real-time-limit=number command command_arguments Limit space to an upper bound (in MB),runlim --space-limit=number command command_arguments Create a queue,az storage queue create --account-name storage_account_name --name queue_name --metadata queue_metadata Generate a shared access signature for the queue,az storage queue generate-sas --account-name storage_account_name --name queue_name --permissions queue_permissions --expiry expiry_date --https-only List queues in a storage account,az storage queue list --prefix filter_prefix --account-name storage_account_name Delete the specified queue and any messages it contains,az storage queue delete --account-name storage_account_name --name queue_name --fail-not-exist Compile a source code file into an executable binary,ldc2 path/to/source.d -of=path/to/output_executable Compile the source code file without linking,ldc2 -c path/to/source.d Select the target architecture and OS,ldc -mtriple=architecture_OS -c path/to/source.d Display help,ldc2 -h Display complete help,ldc2 -help-hidden Create a new VM with default settings,VBoxManage createvm --name vm_name Set the base folder where the VM configuration will be stored,VBoxManage createvm --name vm_name --basefolder path/to/directory Set the guest OS type (one of `VBoxManage list ostypes`) for the imported VM,VBoxManage createvm --name vm_name --ostype ostype Register the created VM in VirtualBox,VBoxManage createvm --name vm_name --register Set the VM to the specified groups,"VBoxManage createvm --name vm_name --group group1,group2,..." Set the Universally Unique Identifier (UUID) of the VM,VBoxManage createvm --name vm_name --uuid uuid Set the cipher to use for encryption,VBoxManage createvm --name vm_name --cipher AES-128|AES-256 List supported formats,ogrinfo --formats List layers of a data source,ogrinfo path/to/input.gpkg Get detailed information about a specific layer of a data source,ogrinfo path/to/input.gpkg layer_name Show summary information about a specific layer of a data source,ogrinfo -so path/to/input.gpkg layer_name Show summary of all layers of the data source,ogrinfo -so -al path/to/input.gpkg Show detailed information of features matching a condition,ogrinfo -where 'attribute_name > 42' path/to/input.gpkg layer_name Update a layer in the data source with SQL,"ogrinfo path/to/input.geojson -dialect SQLite -sql ""UPDATE input SET attribute_name = 'foo'""" Tail all pods within a current namespace,stern . Tail all pods with a specific status,stern . --container-state running|waiting|terminated Tail all pods that matches a given regular expression,stern pod_query Tail matched pods from all namespaces,stern pod_query --all-namespaces Tail matched pods from 15 minutes ago,stern pod_query --since 15m Tail matched pods with a specific label,stern pod_query --selector release=canary Create a new user,sudo useradd username Create a new user with the specified user ID,sudo useradd -u|--uid id username Create a new user with the specified shell,sudo useradd -s|--shell path/to/shell username Create a new user belonging to additional groups (mind the lack of whitespace),"sudo useradd -G|--groups group1,group2,... username" Create a new user with the default home directory,sudo useradd -m|--create-home username Create a new user with the home directory filled by template directory files,sudo useradd -k|--skel path/to/template_directory -m|--create-home username Create a new system user without the home directory,sudo useradd -r|--system username List all partitions,sudo blkid "List all partitions in a table, including current mountpoints",sudo blkid -o list Extract files with original directory structure,unrar x compressed.rar Extract files to a specified path with the original directory structure,unrar x compressed.rar path/to/extract "Extract files into current directory, losing directory structure in the archive",unrar e compressed.rar Test integrity of each file inside the archive file,unrar t compressed.rar List files inside the archive file without decompressing it,unrar l compressed.rar Show information for IPv4 and IPv6 sockets for both listening and connected sockets,sockstat Show information for IPv[4]/IPv[6] sockets [l]istening on specific [p]orts using a specific p[R]otocol,"sockstat -4|6 -l -R tcp|udp|raw|unix -p port1,port2..." Also show [c]onnected sockets and [u]nix sockets,sockstat -cu Only show sockets of the specified `pid` or process,sockstat -P pid|process Only show sockets of the specified `uid` or user,sockstat -U uid|user Only show sockets of the specified `gid` or group,sockstat -G gid|group Execute a particular file,mix run my_script.exs Create a new project,mix new project_name Compile project,mix compile Run project tests,mix test Display help,mix help "Get max, min, mean and median of a single column of numbers",seq 3 | datamash max 1 min 1 mean 1 median 1 "Get the mean of a single column of float numbers (floats must use "","" and not ""."")","echo -e '1.0\n2.5\n3.1\n4.3\n5.6\n5.7' | tr '.' ',' | datamash mean 1" Get the mean of a single column of numbers with a given decimal precision,echo -e '1\n2\n3\n4\n5\n5' | datamash -R number_of_decimals_wanted mean 1 "Get the mean of a single column of numbers ignoring ""Na"" and ""NaN"" (literal) strings",echo -e '1\n2\nNa\n3\nNaN' | datamash --narm mean 1 Install a new package,sudo pacman -S package [S]ynchronize and refresh ([y]) the package database along with a sys[u]pgrade (add `--downloadonly` to only download the packages and not update them),sudo pacman -Syu Update and [u]pgrade all packages and install a new one without prompting,sudo pacman -Syu --noconfirm package Search ([s]) the package database for a regular expression or keyword,"pacman -Ss ""search_pattern""" Display [i]nformation about a package,pacman -Si package Overwrite conflicting files during a package update,sudo pacman -Syu --overwrite path/to/file "[S]ynchronize and [u]pdate all packages, but ignore a specific package (can be used more than once)",sudo pacman -Syu --ignore package1 package2 ... Remove not installed packages and unused repositories from the cache (use the flags `Sc` to [c]lean all packages),sudo pacman -Sc View documentation for the original command,tldr xzmore Create a new Laravel application,lambo new app_name Install the application in a specific path,lambo new --path=path/to/directory app_name Include authentication scaffolding,lambo new --auth app_name Include a specific frontend,lambo new --vue|bootstrap|react app_name Install `npm` dependencies after the project has been created,lambo new --node app_name Create a Valet site after the project has been created,lambo new --link app_name Create a new MySQL database with the same name as the project,lambo new --create-db --dbuser=user --dbpassword=password app_name Open a specific editor after the project has been created,"lambo new --editor=""editor"" app_name" Show the status of nodes in the cluster,nomad node status Validate a job file,nomad job validate path/to/file.nomad Plan a job for execution on the cluster,nomad job plan path/to/file.nomad Run a job on the cluster,nomad job run path/to/file.nomad Show the status of jobs currently running on the cluster,nomad job status Show the detailed status information about a specific job,nomad job status job_name Follow the logs of a specific allocation,nomad alloc logs alloc_id Show the status of storage volumes,nomad volume status "Format C/C++ source according to the Linux style guide, automatically back up the original files, and replace with the indented versions",indent --linux-style path/to/source.c path/to/another_source.c "Format C/C++ source according to the GNU style, saving the indented version to a different file",indent --gnu-style path/to/source.c -o path/to/indented_source.c "Format C/C++ source according to the style of Kernighan & Ritchie (K&R), no tabs, 3 spaces per indent, and wrap lines at 120 characters",indent --k-and-r-style --indent-level3 --no-tabs --line-length120 path/to/source.c -o path/to/indented_source.c Convert a PPM image to text as specified by the given template,ppmtoarbtxt path/to/template path/to/image.ppm > path/to/output_file.txt "Convert a PPM image to text as specified by the given template, prepend the contents of the specified head template",ppmtoarbtxt path/to/template -hd path/to/head_template path/to/image.ppm > path/to/output_file.txt "Convert a PPM image to text as specified by the given template, append the contents of the specified tail template",ppmtoarbtxt path/to/template -hd path/to/tail_template path/to/image.ppm > path/to/output_file.txt Display version,ppmtoarbtxt -version Add one or more files or directories to a Phar file,phar add -f path/to/phar_file path/to/file_or_directory1 path/to/file_or_directory2 ... Display the contents of a Phar file,phar list -f path/to/phar_file Delete the specified file or directory from a Phar file,phar delete -f path/to/phar_file -e file_or_directory Compress or uncompress files and directories in a Phar file,phar compress -f path/to/phar_file -c algorithm Get information about a Phar file,phar info -f path/to/phar_file Sign a Phar file with a specific hash algorithm,phar sign -f path/to/phar_file -h algorithm Sign a Phar file with an OpenSSL private key,phar sign -f path/to/phar_file -h openssl -y path/to/private_key Display help and available hashing/compression algorithms,phar help List all notes and the objects they are attached to,git notes list List all notes attached to a given object (defaults to HEAD),git notes list [object] Show the notes attached to a given object (defaults to HEAD),git notes show [object] Append a note to a specified object (opens the default text editor),git notes append object "Append a note to a specified object, specifying the message","git notes append --message=""message_text""" Edit an existing note (defaults to HEAD),git notes edit [object] Copy a note from one object to another,git notes copy source_object target_object Remove all the notes added to a specified object,git notes remove object Build a project (assuming only one `*.gpr` file exists in the current directory),gprbuild Build a specific [P]roject file,gprbuild -Pproject_name Clean up the build workspace,gprclean Install compiled binaries,gprinstall --prefix path/to/installation/dir Display the partition table stored in an image file,mmls path/to/image_file Display the partition table with an additional column for the partition size,mmls -B -i path/to/image_file Display the partition table in a split EWF image,mmls -i ewf image.e01 image.e02 Display nested partition tables,mmls -t nested_table_type -o offset path/to/image_file Clear the screen (equivalent to pressing Control-L in Bash shell),clear Clear the screen but keep the terminal's scrollback buffer,clear -x Indicate the type of terminal to clean (defaults to the value of the environment variable `TERM`),clear -T type_of_terminal Display the version of `ncurses` used by `clear`,clear -V Display the releases for the current repository in JSON format,gh api repos/:owner/:repo/releases Create a reaction for a specific issue,gh api --header Accept:application/vnd.github.squirrel-girl-preview+json --raw-field 'content=+1' repos/:owner/:repo/issues/123/reactions Display the result of a GraphQL query in JSON format,gh api graphql --field name=':repo' --raw-field 'query' Send a request using a custom HTTP method,gh api --method POST endpoint Include the HTTP response headers in the output,gh api --include endpoint Do not print the response body,gh api --silent endpoint Send a request to a specific GitHub Enterprise Server,gh api --hostname github.example.com endpoint Display the subcommand help,gh api --help "Download and install a package, specified by its import path",go get package_path Compile and run a source file (it has to contain a `main` package),go run file.go Compile a source file into a named executable,go build -o executable file.go Compile the package present in the current directory,go build Execute all test cases of the current package (files have to end with `_test.go`),go test Compile and install the current package,go install Initialize a new module in the current directory,go mod init module_name Create an empty file of 15 kilobytes,mkfile -n 15k path/to/file "Create a file of a given size and unit (bytes, KB, MB, GB)",mkfile -n sizeb|k|m|g path/to/file Create two files of 4 megabytes each,mkfile -n 4m first_filename second_filename Display the current settings for an interface,ethtool eth0 Display the driver information for an interface,ethtool --driver eth0 Display all supported features for an interface,ethtool --show-features eth0 Display the network usage statistics for an interface,ethtool --statistics eth0 Blink one or more LEDs on an interface for 10 seconds,ethtool --identify eth0 10 "Set the link speed, duplex mode, and parameter auto-negotiation for a given interface",ethtool -s eth0 speed 10|100|1000 duplex half|full autoneg on|off Create a virtual machine,qm create 100 Automatically start the machine after creation,qm create 100 --start 1 Specify the type of operating system on the machine,qm create 100 --ostype win10 Replace an existing machine (requires archiving it),qm create 100 --archive path/to/backup_file.tar --force 1 Specify a script that is executed automatically depending on the state of the virtual machine,qm create 100 --hookscript path/to/script.pl "Tile the input image xtiles by ytiles times, increasing the offset each time as determined by xdelta and ydelta",pampop9 path/to/input.pam xtiles ytiles xdelta ydelta > path/to/output.pam Create a snapshot of a specific virtual machine,qm snapshot vm_id snapshot_name Create a snapshot with a specific description,qm snapshot vm_id snapshot_name --description description Create a snapshot including the vmstate,qm snapshot vm_id snapshot_name --description description --vmstate 1 Enable autostart for the storage pool specified by name or UUID (determine using `virsh pool-list`),virsh pool-autostart --pool name|uuid Disable autostart for the storage pool specified by name or UUID,virsh pool-autostart --pool name|uuid --disable Print the MIME type of a given file,mimetype path/to/file "Display only the MIME type, and not the filename",mimetype --brief path/to/file Display a description of the MIME type,mimetype --describe path/to/file Determine the MIME type of `stdin` (does not check a filename),command | mimetype --stdin Display debug information about how the MIME type was determined,mimetype --debug path/to/file Display all the possible MIME types of a given file in confidence order,mimetype --all path/to/file Explicitly specify the 2-letter language code of the output,mimetype --language path/to/file Create an app,doctl apps create Create a deployment for a specific app,doctl apps create-deployment app_id Delete an app interactively,doctl apps delete app_id Get an app,doctl apps get List all apps,doctl apps list List all deployments from a specific app,doctl apps list-deployments app_id Get logs from a specific app,doctl apps logs app_id Update a specific app with a given app spec,doctl apps update app_id --spec path/to/spec.yml Update the Maza database,maza update Start Maza,sudo maza start Stop Maza,sudo maza stop Show the status of Maza,maza status List all audio output and input ports with their IDs,pw-link --output --input --ids Create a link between an output and an input port,pw-link output_port_name input_port_name Disconnect two ports,pw-link --disconnect output_port_name input_port_name List all links with their IDs,pw-link --links --ids Display help,pw-link -h Forward `stdin`/`stdout` to the local system bus,systemd-stdio-bridge Forward `stdin`/`stdout` to a specific user's D-Bus,systemd-stdio-bridge --user Forward `stdin`/`stdout` to the local system bus within a specific container,systemd-stdio-bridge --machine=mycontainer Forward `stdin`/`stdout` to a custom D-Bus address,systemd-stdio-bridge --bus-path=unix:path=/custom/dbus/socket Search for a pattern within a file,"xzgrep ""search_pattern"" path/to/file" Search for an exact string (disables regular expressions),"xzgrep --fixed-strings ""exact_string"" path/to/file" Search for a pattern in all files showing line numbers of matches,"xzgrep --line-number ""search_pattern"" path/to/file" "Use extended regular expressions (supports `?`, `+`, `{}`, `()` and `|`), in case-insensitive mode","xzgrep --extended-regexp --ignore-case ""search_pattern"" path/to/file" "Print 3 lines of context around, before, or after each match","xzgrep --context|before-context|after-context=3 ""search_pattern"" path/to/file" Print file name and line number for each match with color output,"xzgrep --with-filename --line-number --color=always ""search_pattern"" path/to/file" "Search for lines matching a pattern, printing only the matched text","xzgrep --only-matching ""search_pattern"" path/to/file" Debug an executable,gdb executable Attach a process to gdb,gdb -p procID Debug with a core file,gdb -c core executable Execute given GDB commands upon start,"gdb -ex ""commands"" executable" Start `gdb` and pass arguments to the executable,gdb --args executable argument1 argument2 Start in the menu mode,retroarch Start in full screen mode,retroarch --fullscreen List all compiled features,retroarch --features Set the path of a configuration file,retroarch --config=path/to/config_file Display help,retroarch --help Display version,retroarch --version Get the current Node.js installation directory,Get-NodeInstallLocation View documentation for the original command,tldr cal Retrieve the X-Windows window ID of the running Firefox window(s),xdotool search --onlyvisible --name firefox Click the right mouse button,xdotool click 3 Get the ID of the currently active window,xdotool getactivewindow Focus on the window with ID of 12345,xdotool windowfocus --sync 12345 "Type a message, with a 500ms delay for each letter","xdotool type --delay 500 ""Hello world""" Press the enter key,xdotool key KP_Enter Calculate the SHA1 checksum for one or more files,shasum path/to/file1 path/to/file2 ... Calculate the SHA256 checksum for one or more files,shasum --algorithm 256 path/to/file1 path/to/file2 ... Calculate the SHA512 checksum for one or more files,shasum --algorithm 512 path/to/file1 path/to/file2 ... Calculate a SHA1 checksum from `stdin`,command | shasum Calculate and save the list of SHA256 checksums to a file,shasum --algorithm 256 path/to/file1 path/to/file2 ... > path/to/file.sha256 Read a file of SHA1 sums and filenames and verify all files have matching checksums,shasum --check path/to/file Only show a message for missing files or when verification fails,shasum --check --quiet path/to/file "Only show a message when verification fails, ignoring missing files",shasum --ignore-missing --check --quiet path/to/file Output the source address of ICMP TTL expire messages in `warts` files one after the other,sc_ttlexp path/to/file1.warts path/to/file2.warts ... Run a command with the given scheduling class and priority,ionice -c scheduling_class -n priority command "Set I/O scheduling [c]lass of a running process with a specific [p]id, [P]gid or [u]id",ionice -c scheduling_class -p|P|u id Run a command with custom I/O scheduling [c]lass and priority,ionice -c scheduling_class -n priority command Ignore failure to set the requested priority,ionice -t -n priority -p pid Run the command even in case it was not possible to set the desired priority (this can happen due to insufficient privileges or an old kernel version),ionice -t -n priority -p pid Print the I/O scheduling class and priority of a running process,ionice -p pid "Delete all branches that were ""squash-merged"" into the current checked out branch",git delete-squashed-branches "Delete all branches that were ""squash-merged"" into a specific branch",git delete-squashed-branches branch_name Execute a Git subcommand,git subcommand Execute a Git subcommand on a custom repository root path,git -C path/to/repo subcommand Execute a Git subcommand with a given configuration set,git -c 'config.key=value' subcommand Display help,git --help "Display help for a specific subcommand (like `clone`, `add`, `push`, `log`, etc.)",git help subcommand Display version,git --version Try to enumerate using all methods,enum4linux -a remote_host Enumerate using given login credentials,enum4linux -u user_name -p password remote_host List usernames from a given host,enum4linux -U remote_host List shares,enum4linux -S remote_host Get OS information,enum4linux -o remote_host Log in to ,firebase login List existing Firebase projects,firebase projects:list Start an interactive wizard to create a Firebase project in the current directory,firebase init Deploy code and assets to the current Firebase project,firebase deploy Start a local server to statically host the current Firebase project's assets,firebase serve Start an interactive wizard to open one of many links of the current Firebase project in the default web browser,firebase open Convert a SCSS or Sass file to CSS and print out the result,sass inputfile.scss|inputfile.sass Convert a SCSS or Sass file to CSS and save the result to a file,sass inputfile.scss|inputfile.sass outputfile.css Watch a SCSS or Sass file for changes and output or update the CSS file with same filename,sass --watch inputfile.scss|inputfile.sass Watch a SCSS or Sass file for changes and output or update the CSS file with the given filename,sass --watch inputfile.scss|inputfile.sass:outputfile.css Directly run your command,ntfyme exec -c|--cmd command Pipe your command and run,echo command | ntfyme exec Run multiple commands by enclosing them in quotes,"echo ""command1; command2; command3"" | ntfyme exec" Track and terminate the process after prolonged suspension,ntfyme exec -t|--track-process -c|--cmd command Setup the tool configurations interactively,ntfyme setup Encrypt your password,ntfyme enc See the log history,ntfyme log Open and edit the configuration file,ntfyme config Optimise the repository,git gc "Aggressively optimise, takes more time",git gc --aggressive Do not prune loose objects (prunes by default),git gc --no-prune Suppress all output,git gc --quiet Display help,git gc --help "Download the contents of a URL to a file (named ""foo"" in this case)",wget https://example.com/foo "Download the contents of a URL to a file (named ""bar"" in this case)",wget --output-document bar https://example.com/foo "Download a single web page and all its resources with 3-second intervals between requests (scripts, stylesheets, images, etc.)",wget --page-requisites --convert-links --wait=3 https://example.com/somepage.html Download all listed files within a directory and its sub-directories (does not download embedded page elements),wget --mirror --no-parent https://example.com/somepath/ Limit the download speed and the number of connection retries,wget --limit-rate=300k --tries=100 https://example.com/somepath/ Download a file from an HTTP server using Basic Auth (also works for FTP),wget --user=username --password=password https://example.com Continue an incomplete download,wget --continue https://example.com Download all URLs stored in a text file to a specific directory,wget --directory-prefix path/to/directory --input-file URLs.txt Enlarge the specified image by the specified factor,pamenlarge -scale N path/to/image.pam > path/to/output.pam Enlarge the specified image by the specified factors horizontally and vertically,pamenlarge -xscale XN -yscale YN path/to/image.pam > path/to/output.pam View a running pipeline on the current branch,glab pipeline status View a running pipeline on a specific branch,glab pipeline status --branch branch_name Get the list of pipelines,glab pipeline list Run a manual pipeline on the current branch,glab pipeline run Run a manual pipeline on a specific branch,glab pipeline run --branch branch_name Start an interactive shell session,rbash Execute a command and then exit,"rbash -c ""command""" Execute a script,rbash path/to/script.sh "Execute a script, printing each command before executing it",rbash -x path/to/script.sh "Execute commands from a script, stopping at the first error",rbash -e path/to/script.sh Read and execute commands from `stdin`,rbash -s List currently running Docker containers,docker container ls Start one or more stopped containers,docker container start container1_name container2_name Kill one or more running containers,docker container kill container_name Stop one or more running containers,docker container stop container_name Pause all processes within one or more containers,docker container pause container_name Display detailed information on one or more containers,docker container inspect container_name Export a container's filesystem as a tar archive,docker container export container_name Create a new image from a container's changes,docker container commit container_name Create the documentation,yard Create the documentation and save it to one file,yard --one-file List all undocumented objects,yard stats --list-undoc List all Distrobox containers,distrobox-list List all Distrobox containers with verbose information,distrobox-list --verbose Create a database at `/usr/local/var/postgres`,initdb -D /usr/local/var/postgres Open a PDF on the first page,mupdf path/to/file Open a PDF on page 3,mupdf path/to/file 3 Open a password secured PDF,mupdf -p password path/to/file "Open a PDF with an initial zoom level, specified as DPI, of 72",mupdf -r 72 path/to/file Open a PDF with inverted color,mupdf -I path/to/file Open a PDF tinted red #FF0000 (hexadecimal color syntax RRGGBB),mupdf -C FF0000 "Open a PDF without anti-aliasing (0 = off, 8 = best)",mupdf -A 0 Convert a WebP file into a PNG file,dwebp path/to/input.webp -o path/to/output.png Convert a WebP file into a specific filetype,dwebp path/to/input.webp -bmp|-tiff|-pam|-ppm|-pgm|-yuv -o path/to/output "Convert a WebP file, using multi-threading if possible",dwebp path/to/input.webp -o path/to/output.png -mt "Convert a WebP file, but also crop and scale at the same time",dwebp input.webp -o output.png -crop x_pos y_pos width height -scale width height Convert a WebP file and flip the output,dwebp path/to/input.webp -o path/to/output.png -flip Convert a WebP file and don't use in-loop filtering to speed up the decoding process,dwebp path/to/input.webp -o path/to/output.png -nofilter Recursively synchronize a directory to another location,fpsync -v /path/to/source/ /path/to/destination/ Recursively synchronize a directory with the final pass (It enables rsync's `--delete` option with each synchronization job),fpsync -v -E /path/to/source/ /path/to/destination/ Recursively synchronize a directory to a destination using 8 concurrent synchronization jobs,fpsync -v -n 8 -E /path/to/source/ /path/to/destination/ Recursively synchronize a directory to a destination using 8 concurrent synchronization jobs spread over two remote workers (machine1 and machine2),fpsync -v -n 8 -E -w login@machine1 -w login@machine2 -d /path/to/shared/directory /path/to/source/ /path/to/destination/ "Recursively synchronize a directory to a destination using 4 local workers, each one transferring at most 1000 files and 100 MB per synchronization job",fpsync -v -n 4 -f 1000 -s $((100 * 1024 * 1024)) /path/to/source/ /path/to/destination/ Recursively synchronize any directories but exclude specific `.snapshot*` files (Note: options and values must be separated by a pipe character),"fpsync -v -O ""-x|.snapshot*"" /path/to/source/ /path/to/destination/" Acquire a lease for resource,stormlock acquire resource Release the given lease for the given resource,stormlock release resource lease_id "Show information on the current lease for a resource, if any",stormlock current resource Test if a lease for given resource is currently active,stormlock is-held resource lease_id Install a new package,guix package -i package Remove a package,guix package -r package Search the package database for a regular expression,"guix package -s ""search_pattern""" List installed packages,guix package -I List generations,guix package -l Roll back to the previous generation,guix package --roll-back Edge-enhance a PGM image,pgmenhance path/to/image.pgm > path/to/output.pgm Specify the level of enhancement,pgmenhance -1..9 path/to/image.pgm > path/to/output.pgm List available profile symlink targets with their numbers,eselect profile list Set the `/etc/portage/make.profile` symlink by name or number from the `list` command,eselect profile set name|number Show the current system profile,eselect profile show Convert a specific audio file to all of the given file formats,whisper path/to/audio.mp3 Convert an audio file specifying the output format of the converted file,whisper path/to/audio.mp3 --output_format txt Convert an audio file using a specific model for conversion,"whisper path/to/audio.mp3 --model tiny.en,tiny,base.en,base,small.en,small,medium.en,medium,large-v1,large-v2,large" Convert an audio file specifying which language the audio file is in to reduce conversion time,whisper path/to/audio.mp3 --language english Convert an audio file and save it to a specific location,"whisper path/to/audio.mp3 --output_dir ""path/to/output""" Convert an audio file in quiet mode,whisper path/to/audio.mp3 --verbose False View available components for installation,gcloud components list Install one or more components (installs any dependencies as well),gcloud components install component_id1 component_id2 ... Check the current version of Google Cloud CLI,gcloud version Update Google Cloud CLI to the latest version,gcloud components update Open a URL,w3m https://example.com Open a URL in monochrome mode,w3m https://example.com -M Open a URL without mouse support,w3m https://example.com -no-mouse Open a new browser tab, + T Display your browser history, + H Quit w3m,q + y Load a Docker image from `stdin`,docker load < path/to/image_file.tar Load a Docker image from a specific file,docker load --input path/to/image_file.tar Load a Docker image from a specific file in quiet mode,docker load --quiet --input path/to/image_file.tar List files one per line,eza --oneline "List all files, including hidden files",eza --all "Long format list (permissions, ownership, size and modification date) of all files",eza --long --all List files with the largest at the top,eza --reverse --sort=size "Display a tree of files, three levels deep",eza --long --tree --level=3 List files sorted by modification date (oldest first),eza --long --sort=modified "List files with their headers, icons, and Git statuses",eza --long --header --icons --git Don't list files mentioned in `.gitignore`,eza --git-ignore Search for a Haxe library,haxelib search keyword Install a Haxe library,haxelib install libname Install a specific version of a Haxe library,haxelib install libname version Upgrade all installed Haxe libraries,haxelib upgrade Install the development version of a library from a Git repository,haxelib git libname git_url Uninstall a Haxe library,haxelib remove libname Print a tree of locally installed Haxe libraries,haxelib list Display system information,rfetch Display system [a]rchitecture,rfetch -a Display system up[t]ime,rfetch -t Display system [k]ernel,rfetch -k Display system [c]PU,rfetch -c Display Linux [D]istro,rfetch -D View [d]esktop environment,rfetch -d Search for a package,snap find query Install a package,snap install package Update a package,snap refresh package "Update a package to another channel (track, risk, or branch)",snap refresh package --channel=channel Update all packages,snap refresh Display basic information about installed snap software,snap list Uninstall a package,snap remove package Check for recent snap changes in the system,snap changes Stamp the last commit message referencing it with the issue number from your bug tracker,git stamp issue_number Stamp the last commit message linking it to its review page,git stamp Review https://example.org/path/to/review Stamp the last commit message replacing previous issues with a new one,git stamp --replace issue_number Print the best common ancestor of two commits,git merge-base commit_1 commit_2 Print all best common ancestors of two commits,git merge-base --all commit_1 commit_2 Check if a commit is an ancestor of a specific commit,git merge-base --is-ancestor ancestor_commit commit Merge a repository's branch into the current repository's directory,git merge-repo path/to/repo branch_name path/to/directory "Merge a remote repository's branch into the current repository's directory, not preserving history",git merge-repo path/to/remote_repo branch_name . Upload the current (local-only) repository to your GitHub account as public,hub create Create a private repository and open the new repository in a web browser,hub create --private --browse Resolve lists of transitive dependencies of two dependencies,cs resolve group_id1:artifact_id1:artifact_version1 group_id2:artifact_id2:artifact_version2 Resolve lists of transitive dependencies of a package by the dependency tree,cs resolve --tree group_id:artifact_id:artifact_version Resolve dependency tree in a reverse order (from a dependency to its dependencies),cs resolve --reverse-tree group_id:artifact_id:artifact_version Print all the libraries that depends on a specific library,cs resolve group_id:artifact_id:artifact_version --what-depends-on searched_group_id:searched_artifact_id Print all the libraries that depends on a specific library version,cs resolve group_id:artifact_id:artifact_version --what-depends-on searched_group_id:searched_artifact_idsearched_artifact_version Print eventual conflicts between a set of packages,cs resolve --conflicts group_id1:artifact_id1:artifact_version1 group_id2:artifact_id2:artifact_version2 ... Show the status of all tasks,pueue status Show the status of a specific group,pueue status --group group_name Search for all available versions of a particular package,mamba repoquery search package Search for all packages satisfying specific constraints,mamba repoquery search sphinx<5 "List the dependencies of a package installed in the currently activated environment, in a tree format",mamba repoquery depends --tree scipy Print packages in the current environment that require a particular package to be installed (i.e. inverse of `depends`),mamba repoquery whoneeds ipython Start an HTTP server listening on the default port to serve the current directory,http-server Start an HTTP server on a specific port to serve a specific directory,http-server path/to/directory --port port Start an HTTP server using basic authentication,http-server --username username --password password Start an HTTP server with directory listings disabled,http-server -d false Start an HTTPS server on the default port using the specified certificate,http-server --ssl --cert path/to/cert.pem --key path/to/key.pem Start an HTTP server and include the client's IP address in the output logging,http-server --log-ip Start an HTTP server with CORS enabled by including the `Access-Control-Allow-Origin: *` header in all responses,http-server --cors Start an HTTP server with logging disabled,http-server --silent "Run `gcpdiag` on your project, returning all rules",gcpdiag lint --project=gcp_project_id Hide rules that are ok,gcpdiag lint --project=gcp_project_id --hide-ok Authenticate using a service account private key file,gcpdiag lint --project=gcp_project_id --auth-key path/to/private_key Search logs and metrics from a number of days back (default: 3 days),gcpdiag lint --project=gcp_project_id --within-days number Display help,gcpdiag lint --help Reproject a raster dataset,gdalwarp -t_srs EPSG:4326 path/to/input.tif path/to/output.tif Crop a raster dataset by using specific coordinates,gdalwarp -te min_x min_y max_x max_y -te_srs EPSG:4326 path/to/input.tif path/to/output.tif Crop a raster dataset using a vector layer,gdalwarp -cutline path/to/area_to_cut.geojson -crop_to_cutline path/to/input.tif path/to/output.tif Show all recognized EXIF information in an image,exif path/to/image.jpg Show a table listing known EXIF tags and whether each one exists in an image,exif --list-tags --no-fixup image.jpg Extract the image thumbnail into the file `thumbnail.jpg`,exif --extract-thumbnail --output=thumbnail.jpg image.jpg "Show the raw contents of the ""Model"" tag in the given image",exif --ifd=0 --tag=Model --machine-readable image.jpg "Change the value of the ""Artist"" tag to John Smith and save to `new.jpg`","exif --output=new.jpg --ifd=0 --tag=""Artist"" --set-value=""John Smith"" --no-fixup image.jpg" "Discard all sectors on a device, removing all data",blkdiscard /dev/device "Securely discard all blocks on a device, removing all data",blkdiscard --secure /dev/device Discard the first 100 MB of a device,blkdiscard --length 100MB /dev/device Run a `doctl databases user` command with an access token,doctl databases user command --access-token access_token Retrieve details about a database user,doctl databases user get database_id user_name Retrieve a list of database users for a given database,doctl databases user list database_id Reset the auth password for a given user,doctl databases user reset database id user_name Reset the MySQL auth plugn for a given user,doctl databases user reset database_id user_name caching_sha2_password|mysql_native_password Create a user in the given database with a given username,doctl databases user create database_id user_name Delete a user from the given database with the given username,doctl databases user delete database_id user_name Colorize one or more ranked digraph (that were already processed by `dot`),gvcolor path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv "Lay out a graph and colorize it, then convert to a PNG image",dot path/to/input.gv | gvcolor | dot -T png > path/to/output.png Display help,gvcolor -? Generate documentation and code from an OpenAPI/swagger file,swagger-codegen generate -i swagger_file -l language Generate Java code using the library retrofit2 and the option useRxJava2,swagger-codegen generate -i http://petstore.swagger.io/v2/swagger.json -l java --library retrofit2 -DuseRxJava2=true List available languages,swagger-codegen langs Display help for a specific command,swagger-codegen generate|config-help|meta|langs|version --help Create an ext2 filesystem in partition 1 of device b (`sdb1`),mkfs.ext2 /dev/sdb1 Create an ext3 filesystem in partition 1 of device b (`sdb1`),mkfs.ext3 /dev/sdb1 Create an ext4 filesystem in partition 1 of device b (`sdb1`),mkfs.ext4 /dev/sdb1 Prompt for an otpauth URI token and create a new pass file,pass otp insert path/to/pass Prompt for an otpauth URI token and append to an existing pass file,pass otp append path/to/pass Print a 2FA code using the OTP token in a pass file,pass otp path/to/pass Copy and don't print a 2FA code using the OTP token in a pass file,pass otp --clip path/to/pass Display a QR code using the OTP token stored in a pass file,pass otp uri --qrcode path/to/pass Prompt for an OTP secret value specifying issuer and account (at least one must be specified) and append to existing pass file,pass otp append --secret --issuer issuer_name --account account_name path/to/pass Display the numeric identifier for the current host in hexadecimal,hostid Start server with the default configuration file,nginx Start server with a custom configuration file,nginx -c configuration_file Start server with a prefix for all relative paths in the configuration file,nginx -c configuration_file -p prefix/for/relative/paths Test the configuration without affecting the running server,nginx -t Reload the configuration by sending a signal with no downtime,nginx -s reload Open a file in write mode without parsing the file format headers,radare2 -nw path/to/binary Debug a program,radare2 -d path/to/binary Run a script before entering the interactive CLI,radare2 -i path/to/script.r2 path/to/binary Display help text for any command in the interactive CLI,> radare2_command? Run a shell command from the interactive CLI,> !shell_command Dump raw bytes of current block to a file,> pr > path/to/file.bin Wait for a process to finish given its process ID (PID) and return its exit status,wait pid Wait for all processes known to the invoking shell to finish,wait Wait for a job to finish,wait %N Display a report for the code in a directory and all subdirectories,tokei path/to/directory Display a report for a directory excluding `.min.js` files,tokei path/to/directory -e *.min.js Display statistics for individual files in a directory,tokei path/to/directory --files Display a report for all files of type Rust and Markdown,"tokei path/to/directory -t=Rust,Markdown" Download a blob to a [f]ile path specifying a [s]ource container,az storage blob download --account-name storage_account_name --account-key storage_account_key -c container_name -n path/to/blob -f path/to/local_file [d]ownload blobs from a blob container recursively,az storage blob download-batch --account-name storage_account_name --account-key storage_account_key -s container_name -d path/to/remote --pattern filename_regex --destination path/to/destination Upload a local file to blob storage,az storage blob upload --account-name storage_account_name --account-key storage_account_key -c container_name -n path/to/blob -f path/to/local_file Delete a blob object,az storage blob delete --account-name storage_account_name --account-key storage_account_key -c container_name -n path/to/blob Generate a shared access signature for a blob,az storage blob generate-sas --account-name storage_account_name --account-key storage_account_key -c container_name -n path/to/blob --permissions permission_set --expiry Y-m-d'T'H:M'Z' --https-only Compare 2 files,sdiff path/to/file1 path/to/file2 "Compare 2 files, ignoring all tabs and whitespace",sdiff -W path/to/file1 path/to/file2 "Compare 2 files, ignoring whitespace at the end of lines",sdiff -Z path/to/file1 path/to/file2 Compare 2 files in a case-insensitive manner,sdiff -i path/to/file1 path/to/file2 "Compare and then merge, writing the output to a new file",sdiff -o path/to/merged_file path/to/file1 path/to/file2 "Run all specifications in the ""spec"" directory",kahlan Run specifications using a specific configuration file,kahlan --config=path/to/configuration_file Run specifications and output using a reporter,kahlan --reporter=dot|bar|json|tap|verbose Run specifications with code coverage (detail can be between 0 and 4),kahlan --coverage=detail_level List directiory toolchain overrides,rustup override list "Set the override toolchain for the current directory (i.e. tell `rustup` to run `cargo`, `rustc`, etc. from a specific toolchain when in that directory)",rustup override set toolchain Remove the toolchain override for the current directory,rustup override unset Remove all toolchain overrides for directories that no longer exist,rustup override unset --nonexistent Run a speed test,speedtest Run a speed test and specify the unit of the output,speedtest --unit=auto-decimal-bits|auto-decimal-bytes|auto-binary-bits|auto-binary-bytes Run a speed test and specify the output format,speedtest --format=human-readable|csv|tsv|json|jsonl|json-pretty "Run a speed test and specify the number of decimal points to use (0 to 8, defaults to 2)",speedtest --precision=precision Run a speed test and print its progress (only available for output format `human-readable` and `json`),speedtest --progress=yes|no "List all `speedtest.net` servers, sorted by distance",speedtest --servers Run a speed test to a specific `speedtest.net` server,speedtest --server-id=server_id Interactively select a run to see information about the jobs,gh run view Display information about a specific run,gh run view workflow_run_number Display information about the steps of a job,gh run view --job=job_number Display the log of a job,gh run view --job=job_number --log Check a specific workflow and exit with a non-zero status if the run failed,"gh run view workflow_run_number --exit-status && echo ""run pending or passed""" Interactively select an active run and wait until it's done,gh run watch Display the jobs for a run and wait until it's done,gh run watch workflow_run_number Re-run a specific workflow,gh run rerun workflow_run_number "Display CPU, disk, net, paging and system statistics",dstat Display statistics every 5 seconds and 4 updates only,dstat 5 4 Display CPU and memory statistics only,dstat --cpu --mem List all available dstat plugins,dstat --list Display the process using the most memory and most CPU,dstat --top-mem --top-cpu Display battery percentage and remaining battery time,dstat --battery --battery-remain Start the editor,xed Open specific files,xed path/to/file1 path/to/file2 ... Open files using a specific encoding,xed --encoding WINDOWS-1252 path/to/file1 path/to/file2 ... Print all supported encodings,xed --list-encodings Open a file and go to a specific line,xed +10 path/to/file Recognize text in an image and save it to `output.txt` (the `.txt` extension is added automatically),tesseract image.png output Specify a custom language (default is English) with an ISO 639-2 code (e.g. deu = Deutsch = German),tesseract -l deu image.png output List the ISO 639-2 codes of available languages,tesseract --list-langs Specify a custom page segmentation mode (default is 3),tesseract -psm 0_to_10 image.png output List page segmentation modes and their descriptions,tesseract --help-psm Register the Git hooks,grumphp git:init Trigger the pre-commit hook manually,grumphp git:pre-commit Check every versioned file,grumphp run Show status of all jobs,jobs Show status of a particular job,jobs %job_id Show status and process IDs of all jobs,jobs -l Show process IDs of all jobs,jobs -p Extract classes and methods from an APK file,dexdump path/to/file.apk Display header information of DEX files contained in an APK file,dexdump -f path/to/file.apk Display the dis-assembled output of executable sections,dexdump -d path/to/file.apk Output results to a file,dexdump -o path/to/file path/to/file.apk Show filesystem usage (optionally run as root to show detailed information),btrfs filesystem usage path/to/btrfs_mount Show usage by individual devices,sudo btrfs filesystem show path/to/btrfs_mount Defragment a single file on a btrfs filesystem (avoid while a deduplication agent is running),sudo btrfs filesystem defragment -v path/to/file Defragment a directory recursively (does not cross subvolume boundaries),sudo btrfs filesystem defragment -v -r path/to/directory Force syncing unwritten data blocks to disk(s),sudo btrfs filesystem sync path/to/btrfs_mount Summarize disk usage for the files in a directory recursively,sudo btrfs filesystem du --summarize path/to/directory Open a file,openscad path/to/button.scad Convert a file to STL,openscad -o path/to/button.stl path/to/button.scad Render a file to PNG in a specific colorscheme,openscad -o path/to/button.png --colorscheme Sunset path/to/button.scad Look for patterns in the database (recomputed periodically),plocate pattern Look for a file by its exact filename (a pattern containing no globbing characters is interpreted as `*pattern*`),plocate */filename Start a GUI for `tlmgr`,sudo tlmgr gui Start a GUI specifying the background color,"sudo tlmgr gui -background ""#f39bc3""" Start a GUI specifying the foreground color,"sudo tlmgr gui -foreground ""#0ef3bd""" Start a GUI specifying the font and font size,"sudo tlmgr gui -font ""helvetica 18""" Start a GUI setting a specific geometry,sudo tlmgr gui -geometry widthxheight-xpos+ypos Start a GUI passing an arbitrary X resource string,sudo tlmgr gui -xrm xresource Install a repository's specified submodules,git submodule update --init --recursive Add a Git repository as a submodule,git submodule add repository_url Add a Git repository as a submodule at the specified directory,git submodule add repository_url path/to/directory Update every submodule to its latest commit,git submodule foreach git pull Create a skeleton `shard.yml` file,shards init Install dependencies from a `shard.yml` file,shards install Update all dependencies,shards update List all installed dependencies,shards list Display version of dependency,shards version path/to/dependency_directory Update the binary repository as final release step,pkgctl db update List all registries,nrm ls Change to a particular registry,nrm use registry Show the response time for all registries,nrm test Add a custom registry,nrm add registry url Delete a registry,nrm del registry Set capability `cap_net_raw` (to use RAW and PACKET sockets) for a given file,setcap 'cap_net_raw' path/to/file "Set multiple capabilities on a file (`ep` behind the capability means ""effective permitted"")","setcap 'cap_dac_read_search,cap_sys_tty_config+ep' path/to/file" Remove all capabilities from a file,setcap -r path/to/file Verify that the specified capabilities are currently associated with the specified file,setcap -v 'cap_net_raw' path/to/file The optional `-n root_uid` argument can be used to set the file capability for use only in a user namespace with this root user ID owner,setcap -n root_uid 'cap_net_admin' path/to/file "Dump a guest virtual machine into the default dump directory (usually `/var/lib/vz/dump/`), excluding snapshots",vzdump vm_id "Back up the guest virtual machines with the IDs 101, 102, and 103",vzdump 101 102 103 Dump a guest virtual machine using a specific mode,vzdump vm_id --mode suspend|snapshot Back up all guest systems and send an notification email to the root and admin users,vzdump --all --mode suspend --mailto root --mailto admin Use snapshot mode (no downtime required) and a non-default dump directory,vzdump vm_id --dumpdir path/to/directory --mode snapshot Back up all guest virtual machines excluding the IDs 101 and 102,"vzdump --mode suspend --exclude 101, 102" "Start a buffer for temporary notes, which won't be saved",zile Open a file,zile path/to/file Save a file," + X, + S" Quit," + X, + C" Open a file at a specified line number,zile +line_number path/to/file Undo changes," + X, U" "Display general information about a YubiKey (serial number, firmware version, capabilities, etc.)",ykman info "List connected YubiKeys with short, one-line descriptions (including the serial number)",ykman list View documentation for enabling and disabling applications,tldr ykman config View documentation for managing the FIDO applications,tldr ykman fido View documentation for managing the OATH application,tldr ykman oath View documentation for managing the OpenPGP application,tldr ykman openpgp Give the PPM image an American TV appearance,ppmtv dim_factor path/to/file.ppm > path/to/output.ppm Suppress all informational messages,ppmtv -quiet Display version,ppmtv -version List installed packages and their versions,pkginfo -i List files owned by a package,pkginfo -l package List the owner(s) of files matching a pattern,pkginfo -o pattern Print the footprint of a file,pkginfo -f path/to/file Add a specified package to `go.mod` in module-mode or install the package in GOPATH-mode,go get example.com/pkg Modify the package with a given version in module-aware mode,go get example.com/pkg@v1.2.3 Remove a specified package,go get example.com/pkg@none Give a description of the type of the specified file. Works fine for files with no file extension,file path/to/file Look inside a zipped file and determine the file type(s) inside,file -z foo.zip Allow file to work with special or device files,file -s path/to/file Don't stop at first file type match; keep going until the end of the file,file -k path/to/file Determine the MIME encoding type of a file,file -i path/to/file Start Syncthing,syncthing Start Syncthing without opening a web browser,syncthing -no-browser Print the device ID,syncthing -device-id Change the home directory,syncthing -home=path/to/directory Force a full index exchange,syncthing -reset-deltas Change the address upon which the web interface listens,syncthing -gui-address=ip_address:port|path/to/socket.sock Show filepaths to the files used by Syncthing,syncthing -paths Disable the Syncthing monitor process,syncthing -no-restart Sync two directories (creates log first time these two directories are synchronized),unison path/to/directory_1 path/to/directory_2 Automatically accept the (non-conflicting) defaults,unison path/to/directory_1 path/to/directory_2 -auto Ignore some files using a pattern,unison path/to/directory_1 path/to/directory_2 -ignore pattern View documentation,unison -doc topics Copy PGM file from `stdin` to `stderr`,pgmtopgm Display version,pgmtopgm -version Uncompress specific files,uncompress path/to/file1.Z path/to/file2.Z ... Uncompress specific files while ignoring non-existent ones,uncompress -f path/to/file1.Z path/to/file2.Z ... Write to `stdout` (no files are changed and no `.Z` files are created),uncompress -c path/to/file1.Z path/to/file2.Z ... Verbose mode (write to `stderr` about percentage reduction or expansion),uncompress -v path/to/file1.Z path/to/file2.Z ... Download images from the specified URL,"gallery-dl ""url""" Retrieve pre-existing cookies from your web browser (useful for sites that require login),"gallery-dl --cookies-from-browser browser ""url""" Get the direct URL of an image from a site supporting authentication with username and password,"gallery-dl --get-urls --username username --password password ""url""" Filter manga chapters by chapter number and language,"gallery-dl --chapter-filter ""10 <= chapter < 20"" --option ""lang=language_code"" ""url""" Print a country where an IPv4 address or host is located,acountry example.com Print extra [d]ebugging output,acountry -d example.com Print more [v]erbose information,acountry -v example.com "Show a notification with the title ""Test"" and the content ""This is a test""","notify-send ""Test"" ""This is a test""" Show a notification with a custom icon,"notify-send -i icon.png ""Test"" ""This is a test""" Show a notification for 5 seconds,"notify-send -t 5000 ""Test"" ""This is a test""" Show a notification with an app's icon and name,"notify-send ""Test"" --icon=google-chrome --app-name=""Google Chrome""" Print a completion script,gh completion --shell bash|zsh|fish|powershell Append the `gh` completion script to `~/.bashrc`,gh completion --shell bash >> ~/.bashrc Append the `gh` completion script to `~/.zshrc`,gh completion --shell zsh >> ~/.zshrc Display the subcommand help,gh completion Query a system password with a specific message,"systemd-ask-password ""message""" Specify an identifier for the password query,"systemd-ask-password --id=identifier ""message""" Use a kernel keyring key name as a cache for the password,"systemd-ask-password --keyname=key_name ""message""" Set a custom timeout for the password query,"systemd-ask-password --timeout=seconds ""message""" Force the use of an agent system and never ask on current TTY,"systemd-ask-password --no-tty ""message""" Store a password in the kernel keyring without displaying it,"systemd-ask-password --no-output --keyname=key_name ""message""" List all Cloud9 development environment identifiers,aws cloud9 list-environments Create a Cloud9 development environment,aws cloud9 create-environment-ec2 --name name --instance-type instance_type Display information about Cloud9 development environments,aws cloud9 describe-environments --environment-ids environment_ids Add an environment member to a Cloud9 development environment,aws cloud9 create-environment-membership --environment-id environment_id --user-arn user_arn --permissions permissions Display status information for a Cloud9 development environment,aws cloud9 describe-environment-status --environment-id environment_id Delete a Cloud9 environment,aws cloud9 delete-environment --environment-id environment_id Delete an environment member from a development environment,aws cloud9 delete-environment-membership --environment-id environment_id --user-arn user_arn List all outdated casks and formulae,brew outdated List only outdated formulae,brew outdated --formula List only outdated casks,brew outdated --cask Download songs from the provided URLs and embed metadata,spotdl open.spotify.com/playlist/playlistId open.spotify.com/track/trackId ... Start a web interface to download individual songs,spotdl web Save only the metadata without downloading anything,spotdl save open.spotify.com/playlist/playlistId ... --save-file path/to/save_file.spotdl Switch the priority of two tasks,pueue switch task_id1 task_id2 "Print a summary of CPU, memory, hard drive and kernel information",inxi "Print a full description of CPU, memory, disk, network and process information",inxi -Fz Print information about the distribution's repository,inxi -r Build the specified target in the workspace,bazel build target Remove output files and stop the server if running,bazel clean Stop the bazel server,bazel shutdown Display runtime info about the bazel server,bazel info Display help,bazel help Display version,bazel version View documentation for the original command,tldr magick compare Open a file in the default editor,sensible-editor path/to/file "Open a file in the default editor, with the cursor at the end of the file",sensible-editor + path/to/file "Open a file in the default editor, with the cursor at the beginning of line 10",sensible-editor +10 path/to/file Open 3 files in vertically split editor windows at the same time,sensible-editor -O3 path/to/file1 path/to/file2 path/to/file3 Find which processes are accessing a file or directory,fuser path/to/file_or_directory "Show more fields (`USER`, `PID`, `ACCESS` and `COMMAND`)",fuser --verbose path/to/file_or_directory Identify processes using a TCP socket,fuser --namespace tcp port Kill all processes accessing a file or directory (sends the `SIGKILL` signal),fuser --kill path/to/file_or_directory Find which processes are accessing the filesystem containing a specific file or directory,fuser --mount path/to/file_or_directory Kill all processes with a TCP connection on a specific port,fuser --kill port/tcp Print all current sessions,loginctl list-sessions Print all properties of a specific session,loginctl show-session session_id --all Print all properties of a specific user,loginctl show-user username Print a specific property of a user,loginctl show-user username --property=property_name Execute a `loginctl` operation on a remote host,loginctl list-users -H hostname Convert a PPM image to a BMP file,ppmtobmp path/to/file.ppm > path/to/file.bmp Explicitly specify whether or not a Windows BMP file or an OS/2 BMP file should be created,ppmtobmp -windows|os2 path/to/file.ppm > path/to/file.bmp Use a specific number of bits for each pixel,ppmtobmp -bbp 1|4|8|24 path/to/file.ppm > path/to/file.bmp "Open a file and enter normal mode, to execute commands",kak path/to/file "Enter insert mode from normal mode, to write text into the file",i "Escape insert mode, to go back to normal mode", "Replace all instances of ""foo"" in the current file with ""bar""",%sfoocbar "Unselect all secondary selections, and keep only the main one", Search for numbers and select the first two,/\d+N Insert the contents of a file,!cat path/to/file Save the current file,:w Replace a sensitive string in all files,git filter-repo --replace-text <(echo 'find==>replacement') "Extract a single folder, keeping history",git filter-repo --path path/to/folder "Remove a single folder, keeping history",git filter-repo --path path/to/folder --invert-paths Move everything from sub-folder one level up,git filter-repo --path-rename path/to/folder/: Start the interactive console interface,deluge-console Connect to a Deluge daemon instance,connect hostname:port Add a torrent to the daemon,add url|magnet|path/to/file Display information about all torrents,info Display information about a specific torrent,info torrent_id Pause a torrent,pause torrent_id Resume a torrent,resume torrent_id Remove a torrent from the daemon,rm torrent_id Display the list of all the interfaces,bmon -a Display data transfer rates in bits per second,bmon -b Specify the policy to define which network interface(s) is/are displayed,"bmon -p interface_1,interface_2,interface_3" Specify the interval (in seconds) in which rate per counter is calculated,bmon -R 2.0 "Update a user's ""Name"" field in the output of `finger`",chfn -f new_display_name username "Update a user's ""Office Room Number"" field for the output of `finger`",chfn -o new_office_room_number username "Update a user's ""Office Phone Number"" field for the output of `finger`",chfn -p new_office_telephone_number username "Update a user's ""Home Phone Number"" field for the output of `finger`",chfn -h new_home_telephone_number username Show available commands and flags,hcloud Display help,hcloud -h Show available commands and flags for `hcloud` contexts,hcloud context View documentation for the original command,tldr vim Visit a website in graphics mode,links2 -g https://example.com Reserve a file taking up 700 MiB of disk space,fallocate --length 700M path/to/file Shrink an already allocated file by 200 MiB,fallocate --collapse-range --length 200M path/to/file Shrink 20 MB of space after 100 MiB in a file,fallocate --collapse-range --offset 100M --length 20M path/to/file Open the `npm` page of a specific package in the web browser,npm-home package Open the GitHub repository of a specific package in the web browser,npm-home -g package Open the Yarn page of a specific package in the web browser,npm-home -y package List keys in a specific keyring,keyctl list target_keyring List current keys in the user default session,keyctl list @us Store a key in a specific keyring,keyctl add type_keyring key_name key_value target_keyring Store a key with its value from `stdin`,echo -n key_value | keyctl padd type_keyring key_name target_keyring Put a timeout on a key,keyctl timeout key_name timeout_in_seconds Read a key and format it as a hex-dump if not printable,keyctl read key_name Read a key and format as-is,keyctl pipe key_name Revoke a key and prevent any further action on it,keyctl revoke key_name "Go to the highest-ranked directory that contains ""foo"" in the name",zoxide query foo "Go to the highest-ranked directory that contains ""foo"" and then ""bar""",zoxide query foo bar Start an interactive directory search (requires `fzf`),zoxide query --interactive Add a directory or increment its rank,zoxide add path/to/directory Remove a directory from `zoxide`'s database interactively,zoxide remove path/to/directory --interactive "Generate shell configuration for command aliases (`z`, `za`, `zi`, `zq`, `zr`)",zoxide init bash|fish|zsh "Replace a regex pattern in all files of the current directory, ignoring files on .ignore and .gitignore",fastmod regex_pattern replacement Replace a regex pattern in case-insensitive mode in specific files or directories,fastmod --ignore-case regex_pattern replacement -- path/to/file path/to/directory ... Replace a regex pattern in a specific directory in files filtered with a case-insensitive glob pattern,"fastmod regex replacement --dir path/to/directory --iglob '**/*.{js,json}'" Replace for an exact string in `.js` or JSON files,"fastmod --fixed-strings exact_string replacement --extensions json,js" Replace for an exact string without prompt for a confirmation (disables regular expressions),fastmod --accept-all --fixed-strings exact_string replacement "Replace for an exact string without prompt for a confirmation, printing changed files",fastmod --accept-all --print-changed-files --fixed-strings exact_string replacement Print PDF file fonts information,pdffonts path/to/file.pdf Specify user password for PDF file to bypass security restrictions,pdffonts -upw password path/to/file.pdf Specify owner password for PDF file to bypass security restrictions,pdffonts -opw password path/to/file.pdf Print additional information on location of the font that will be used when the PDF file is rasterized,pdffonts -loc path/to/file.pdf Print additional information on location of the font that will be used when the PDF file is converted to PostScript,pdffonts -locPS path/to/file.pdf Encrypt a file,sops -e path/to/file.json > path/to/file.enc.json Decrypt a file to `stdout`,sops -d path/to/file.enc.json Update the declared keys in a `sops` file,sops updatekeys path/to/file.enc.yaml Rotate data keys for a `sops` file,sops -r path/to/file.enc.yaml Change the extension of the file once encrypted,sops -d --input-type json path/to/file.enc.json "Extract keys by naming them, and array elements by numbering them","sops -d --extract '[""an_array""][1]' path/to/file.enc.json" Show the difference between two `sops` files,diff <(sops -d path/to/secret1.enc.yaml) <(sops -d path/to/secret2.enc.yaml) Generate a new Hakyll sample blog,hakyll-init path/to/directory Display help,hakyll-init --help Block a specific IP,"flarectl firewall rules create --zone=""example.com"" --value=""8.8.8.8"" --mode=""block"" --notes=""Block bad actor""" Add a DNS record,"flarectl dns create --zone=""example.com"" --name=""app"" --type=""CNAME"" --content=""myapp.herokuapp.com"" --proxy" List all Cloudflare IPv4/IPv6 ranges,flarectl ips --ip-type ipv4|ipv6|all Create many new Cloudflare zones automatically with names from `domains.txt`,for domain in $(cat domains.txt); do flarectl zone info --zone=$domain; done List all firewall rules,flarectl firewall rules list Fork a GitHub repository by its slug,hub fork tldr-pages/tldr Fork a GitHub repository by its URL,hub fork https://github.com/tldr-pages/tldr "Fork current GitHub repository, set remote name to origin",hub fork --remote-name origin View documentation for the original command,tldr pio Display help,docker start Start a Docker container,docker start container "Start a container, attaching `stdout` and `stderr` and forwarding signals",docker start --attach container Start one or more containers,docker start container1 container2 ... Compile a Lua source file to Lua bytecode,luac -o byte_code.luac source.lua Do not include debug symbols in the output,luac -s -o byte_code.luac source.lua "Create an Xmake C project, consisting of a hello world and `xmake.lua`",xmake create --language c -P project_name Build and run an Xmake project,xmake build run Run a compiled Xmake target directly,xmake run target_name Configure a project's build targets,xmake config --plat=macosx|linux|iphoneos|... --arch=x86_64|i386|arm64|... --mode=debug|release Install the compiled target to a directory,xmake install -o path/to/directory "Assemble `source.asm` into a binary file `source`, in the (default) raw binary format",nasm source.asm "Assemble `source.asm` into a binary file `output_file`, in the specified format",nasm -f format source.asm -o output_file List valid output formats (along with basic nasm help),nasm -hf Assemble and generate an assembly listing file,nasm -l list_file source.asm Add a directory (must be written with trailing slash) to the include file search path before assembling,nasm -i path/to/include_dir/ source.asm Convert a PBM image to a Zinc bitmap,pbmtozinc path/to/image.pbm > path/to/output.zinc [o]utput a blockmap file from image file,bmaptool create -o blockmap.bmap source.img Copy an image file into sdb,bmaptool copy --bmap blockmap.bmap source.img /dev/sdb Copy a compressed image file into sdb,bmaptool copy --bmap blockmap.bmap source.img.gz /dev/sdb Copy an image file into sdb without using a blockmap,bmaptool copy --nobmap source.img /dev/sdb Draw a histogram of a PNM image,pnmhistmap path/to/input.pnm > path/to/output.pnm Draw the histogram as dots instead of bars,pnmhistmap -dots path/to/input.pnm > path/to/output.pnm Specify the range of intensity values to include,pnmhistmap -lval minval -rval maxval path/to/input.pnm > path/to/output.pnm Add credentials to the secure keystore,aws-vault add profile Execute a command with AWS credentials in the environment,aws-vault exec profile -- aws s3 ls Open a browser window and login to the AWS Console,aws-vault login profile "List profiles, along with their credentials and sessions",aws-vault list Rotate AWS credentials,aws-vault rotate profile Remove credentials from the secure keystore,aws-vault remove profile List all SCSI devices,lsscsi List all SCSI devices with detailed attributes,lsscsi -L List all SCSI devices with human-readable disk capacity,lsscsi -s Open Mumble,mumble Open Mumble and immediately connect to a server,mumble mumble://username@example.com Open Mumble and immediately connect to a password protected server,mumble mumble://username:password@example.com Mute/unmute the microphone in a running Mumble instance,mumble rpc mute|unmute Mute/unmute the microphone and the audio output of Mumble,mumble rpc deaf|undeaf Start a REPL (interactive shell),d8 Run a JavaScript file,d8 path/to/file.js Evaluate a JavaScript expression,"d8 -e ""code" Generate a PostScript file from a text file,enscript path/to/input_file --output=path/to/output_file Generate a file in a different language than PostScript,enscript path/to/input_file --language=html|rtf|... --output=path/to/output_file "Generate a PostScript file with a landscape layout, splitting the page into columns (maximum 9)",enscript path/to/input_file --columns=num --landscape --output=path/to/output_file Display available syntax highlighting languages and file formats,enscript --help-highlight Generate a PostScript file with syntax highlighting and color for a specified language,enscript path/to/input_file --color=1 --highlight=language --output=path/to/output_file Install a package,prt-get install package Install a package with dependency handling,prt-get depinst package Update a package manually,prt-get upgrade package Remove a package,prt-get remove package Upgrade the system from the local ports tree,prt-get sysup Search the ports tree,prt-get search query Search for a file in a package,prt-get fsearch file Pause all tasks in the default group,pueue pause Pause a running task,pueue pause task_id Pause a running task and stop all its direct children,pueue pause --children task_id Pause all tasks in a group and prevent it from starting new tasks,pueue pause --group group_name Pause all tasks and prevent all groups from starting new tasks,pueue pause --all Convert 1.5K (SI Units) to 1500,numfmt --from=si 1.5K Convert 5th field (1-indexed) to IEC Units without converting header,ls -l | numfmt --header=1 --field=5 --to=iec "Convert to IEC units, pad with 5 characters, left aligned","du -s * | numfmt --to=iec --format=""%-5f""" View a compressed file,xzless path/to/file View a compressed file and display line numbers,xzless --LINE-NUMBERS path/to/file View a compressed file and quit if the entire file can be displayed on the first screen,xzless --quit-if-one-screen path/to/file "Show the current balances in `Asset` and `Liability` accounts, excluding zeros",hledger balancesheet Show just the liquid assets (`Cash` account type),hledger balancesheet type:C "Include accounts with zero balances, and show the account hierarchy",hledger balancesheet --empty --tree Show the balances at the end of each month,hledger balancesheet --monthly Show the balances' market value in home currency at the end of each month,hledger balancesheet --monthly -V "Show quarterly balances, with just the top two levels of account hierarchy",hledger balancesheet --quarterly --tree --depth 2 "Short form of the above, and generate HTML output in `bs.html`",hledger bs -Qt -2 -o bs.html Scale an image such that the result has the specified dimensions,pamscale -width width -height height path/to/input.pam > path/to/output.pam "Scale an image such that the result has the specified width, keeping the aspect ratio",pamscale -width width path/to/input.pam > path/to/output.pam Scale an image such that its width and height is changed by the specified factors,pamscale -xscale x_factor -yscale y_factor path/to/input.pam > path/to/output.pam Scale an image such that it fits into the specified bounding box while preserving its aspect ratio,pamscale -xyfit bbox_width bbox_height path/to/input.pam > path/to/output.pam Scale an image such that it completely fills the specified box while preserving its aspect ratio,pamscale -xyfill box_width box_height path/to/input.pam > path/to/output.pam Display the current date and time,idevicedate Set the date and time on the device to the system time,idevicedate --sync Set the date and time to a specific timestamp,idevicedate --set timestamp Convert a Palm bitmap to a PNM image,palmtopnm path/to/file.palm > path/to/file.pnm Display information about the input file,palmtopnm -verbose path/to/file.palm > path/to/file.pnm Convert the n'th rendition of the image contained in the input file,palmtopnm -rendition n path/to/file.palm > path/to/file.pnm Write a histogram of the colors in the input file to `stdout`,palmtopnm -showhist path/to/file.palm > path/to/file.pnm Output the transparent color of the input image if set,palmtopnm -transparent path/to/file.palm Perform a basic analysis check on the current project,pio check Perform a basic analysis check on a specific project,pio check --project-dir project_dir Perform an analysis check for a specific environment,pio check --environment environment Perform an analysis check and only report a specified defect severity type,pio check --severity low|medium|high Perform an analysis check and show detailed information when processing environments,pio check --verbose Run a `doctl databases` command with an access token,doctl databases command --access-token access_token Get details for a database cluster,doctl databases get List your database clusters,doctl databases list Create a database cluster,doctl databases create database_name Delete a cluster,doctl databases delete database_id Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc wmi 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Authenticate via local authentication (as opposed to authenticating to the domain),nxc wmi 192.168.178.2 -u username -p password --local-auth Issue the specified WMI query,nxc wmi 192.168.178.2 -u username -p password --wmi wmi_query Execute the specified command on the targeted host,nxc wmi 192.168.178.2 -u username -p password --x command Log in using the default web browser with a Vercel account,turbo login Link the current directory to a Vercel organization and enable remote caching,turbo link Build the current project,turbo run build Run a task without concurrency,turbo run task_name --concurrency=1 Run a task ignoring cached artifacts and forcibly re-execute all tasks,turbo run task_name --force Run a task in parallel across packages,turbo run task_name --parallel --no-cache Unlink the current directory from your Vercel organization and disable Remote Caching,turbo unlink Generate a Dot graph of a specific task execution (the output file format can be controlled with the filename),turbo run task_name --graph=path/to/file.html|jpg|json|pdf|png|svg Generate a SHA-512 timestamp request of a specific file and output to `file.tsq`,openssl ts -query -data path/to/file -sha512 -out path/to/file.tsq Check the date and metadata of a specific timestamp response file,openssl ts -reply -in path/to/file.tsr -text Verify a timestamp request file and a timestamp response file from the server with an SSL certificate file,openssl ts -verify -in path/to/file.tsr -queryfile path/to/file.tsq -partial_chain -CAfile path/to/cert.pem Create a timestamp response for request using key and signing certificate and output it to `file.tsr`,openssl ts -reply -queryfile path/to/file.tsq -inkey path/to/tsakey.pem -signer tsacert.pem -out path/to/file.tsr Build a Docker image using the Dockerfile in the current directory,docker build . Build a Docker image from a Dockerfile at a specified URL,docker build github.com/creack/docker-firefox Build a Docker image and tag it,docker build --tag name:tag . Build a Docker image with no build context,docker build --tag name:tag - < Dockerfile Do not use the cache when building the image,docker build --no-cache --tag name:tag . Build a Docker image using a specific Dockerfile,docker build --file Dockerfile . Build with custom build-time variables,docker build --build-arg HTTP_PROXY=http://10.20.30.2:1234 --build-arg FTP_PROXY=http://40.50.60.5:4567 . Start `xrdb` in interactive mode,xrdb Load values (e.g. style rules) from a resource file,xrdb -load ~/.Xresources Query the resource database and print currently set values,xrdb -query Run all available tests,jest Run the test suites from the given files,jest path/to/file1 path/to/file2 ... "Run the test suites from files within the current and subdirectories, whose paths match the given regular expression",jest regular_expression1 regular_expression2 Run the tests whose names match the given regular expression,jest --testNamePattern regular_expression Run test suites related to a given source file,jest --findRelatedTests path/to/source_file.js Run test suites related to all uncommitted files,jest --onlyChanged Watch files for changes and automatically re-run related tests,jest --watch Display help,jest --help List CPUs,sudo cpupower --cpu all info Print information about all cores,sudo cpupower --cpu all info Set all CPUs to a power-saving frequency governor,sudo cpupower --cpu all frequency-set --governor powersave Print CPU 0's available frequency [g]overnors,"sudo cpupower --cpu 0 frequency-info g | grep ""analyzing\|governors""" "Print CPU 4's frequency from the hardware, in a human-readable format",sudo cpupower --cpu 4 frequency-info --hwfreq --human Compare two files,wdiff path/to/file1 path/to/file2 Ignore case when comparing,wdiff --ignore-case path/to/file1 path/to/file2 "Display how many words are deleted, inserted or replaced",wdiff --statistics path/to/file1 path/to/file2 Disable password expiration for the user,sudo lchage --date -1 username Display the password policy for the user,sudo lchage --list username Require password change for the user a certain number of days after the last password change,sudo lchage --maxdays number_of_days username Start warning the user a certain number of days before the password expires,sudo lchage --warndays number_of_days username Display system logs,adb logcat Display lines that match a regular [e]xpression,adb logcat -e regular_expression "Display logs for a tag in a specific mode ([V]erbose, [D]ebug, [I]nfo, [W]arning, [E]rror, [F]atal, [S]ilent), filtering other tags",adb logcat tag:mode *:S Display logs for React Native applications in [V]erbose mode [S]ilencing other tags,adb logcat ReactNative:V ReactNativeJS:V *:S Display logs for all tags with priority level [W]arning and higher,adb logcat *:W Display logs for a specific PID,adb logcat --pid pid Display logs for the process of a specific package,adb logcat --pid $(adb shell pidof -s package) Color the log (usually use with filters),adb logcat -v color Execute a Java `.class` file that contains a main method by using just the class name,java classname Execute a Java program and use additional third-party or user-defined classes,java -classpath path/to/classes1:path/to/classes2:. classname Execute a `.jar` program,java -jar filename.jar Execute a `.jar` program with debug waiting to connect on port 5005,"java -agentlib:jdwp=transport=dt_socket,server=y,suspend=y,address=*:5005 -jar filename.jar" "Display JDK, JRE and HotSpot versions",java -version Display help,java -help Show the structure of a Type built-in of the .NET Framework,monop System.String List the types in an assembly,monop -r:path/to/assembly.exe Show the structure of a Type in a specific assembly,monop -r:path/to/assembly.dll Namespace.Path.To.Type Only show members defined in the specified Type,monop -r:path/to/assembly.dll --only-declared Namespace.Path.To.Type Show private members,monop -r:path/to/assembly.dll --private Namespace.Path.To.Type Hide obsolete members,monop -r:path/to/assembly.dll --filter-obsolete Namespace.Path.To.Type List the other assemblies that a specified assembly references,monop -r:path/to/assembly.dll --refs Connect to a hypervisor session,virsh connect qemu:///system List all domains,virsh list --all Dump guest configuration file,virsh dumpxml guest_id > path/to/guest.xml Create a guest from a configuration file,virsh create path/to/config_file.xml Edit a guest's configuration file (editor can be changed with $EDITOR),virsh edit guest_id Start/reboot/shutdown/suspend/resume a guest,virsh command guest_id Save the current state of a guest to a file,virsh save guest_id filename Delete a running guest,virsh destroy guest_id && virsh undefine guest_id Play a video or audio from a URL or file,mpv url|path/to/file Jump backward/forward 5 seconds,LEFT RIGHT Jump backward/forward 1 minute,DOWN UP Decrease or increase playback speed by 10%,[ ] Take a screenshot of the current frame (saved to `./mpv-shotNNNN.jpg` by default),s Play a file at a specified speed (1 by default),mpv --speed 0.01..100 path/to/file Play a file using a profile defined in the `mpv.conf` file,mpv --profile profile_name path/to/file Display the output of webcam or other video input device,mpv /dev/video0 Analyze duplicated code for a specific file or directory,phpcpd path/to/file_or_directory Analyze using fuzzy matching for variable names,phpcpd --fuzzy path/to/file_or_directory Specify a minimum number of identical lines (defaults to 5),phpcpd --min-lines number_of_lines path/to/file_or_directory Specify a minimum number of identical tokens (defaults to 70),phpcpd --min-tokens number_of_tokens path/to/file_or_directory Exclude a directory from analysis (must be relative to the source),phpcpd --exclude path/to/excluded_directory path/to/file_or_directory Output the results to a PHP-CPD XML file,phpcpd --log-pmd path/to/log_file path/to/file_or_directory Get the digest of an image,crane digest image_name Print the full image reference by digest,crane digest image_name --full-ref Specify path to tarball containing the image,crane digest image_name --tarball path/to/tarball Display help,crane digest -h|--help Look for security issues in the project dependencies (based on the `composer.lock` file in the current directory),security-checker security:check Use a specific `composer.lock` file,security-checker security:check path/to/composer.lock Return results as a JSON object,security-checker security:check --format=json Show current host name,hostname Show the network address of the host name,hostname -i Show all network addresses of the host,hostname -I Show the FQDN (Fully Qualified Domain Name),hostname --fqdn Set current host name,hostname new_hostname List all TeX Live settings,tlmgr option showall List all currently set Tex Live settings,tlmgr option show Print all TeX Live settings in JSON format,tlmgr option showall --json Show the value of a specific TeX Live setting,tlmgr option setting Modify the value of a specific TeX Live setting,tlmgr option setting value Set TeX Live to get future updates from the internet after installing from DVD,tlmgr option repository https://mirror.ctan.org/systems/texlive/tlnet List all valid generators,fakedata --generators Generate data using one or more generators,fakedata generator1 generator2 Generate data with a specific output format,fakedata --format csv|tab|sql generator Generate a given number of data items (defaults to 10),fakedata --limit n generator Generate data using a custom output template (the first letter of generator names must be capitalized),"echo ""\{\{Generator\}\}"" | fakedata" Start the MySQL database server,mysqld "Start the server, printing error messages to the console",mysqld --console "Start the server, saving logging output to a custom log file",mysqld --log=path/to/file.log Print the default arguments and their values and exit,mysqld --print-defaults "Start the server, reading arguments and values from a file",mysqld --defaults-file=path/to/file Start the server and listen on a custom port,mysqld --port=port Display help,mysqld --verbose --help List connected (and authorized) devices,boltctl "List connected devices, including unauthorized ones",boltctl list Authorize a device temporarily,boltctl authorize device_uuid Authorize and remember a device,boltctl enroll device_uuid Revoke a previously authorized device,boltctl forget device_uuid Show more information about a device,boltctl info device_uuid View documentation for the current command,tldr pnmtojpeg Display help,kwriteconfig5 --help Set a global configuration key,kwriteconfig5 --group group_name --key key value Set a key in a specific configuration file,kwriteconfig5 --file path/to/file --group group_name --key key value Delete a key,kwriteconfig5 --group group_name --key key --delete Use systemd to start the Plasma session when available,kwriteconfig5 --file startkderc --group General --key systemdBoot true Hide the title bar when a window is maximized (like Ubuntu),kwriteconfig5 --file ~/.config/kwinrc --group Windows --key BorderlessMaximizedWindows true Configure KRunner to open with the Meta (Command/Windows) global hotkey,"kwriteconfig5 --file ~/.config/kwinrc --group ModifierOnlyShortcuts --key Meta ""org.kde.kglobalaccel,/component/krunner_desktop,org.kde.kglobalaccel.Component,invokeShortcut,_launch""" Change file permissions,yadm perms Run a recipe specified in the justfile,just recipe Initialize new justfile in project root,just --init Edit justfile in the default editor,just -e List available recipes in the justfile,just -l Print justfile,just --dump List all active inhibition locks and the reasons for their creation,systemd-inhibit --list Block system shutdown for a specified number of seconds with the `sleep` command,systemd-inhibit --what shutdown sleep 5 Keep the system from sleeping or idling until the download is complete,systemd-inhibit --what sleep:idle wget https://example.com/file Ignore lid close switch until the script exits,systemd-inhibit --what sleep:handle-lid-switch path/to/script Ignore power button press while command is running,systemd-inhibit --what handle-power-key command Describe who and why created the inhibitor (default: the command and its arguments for `--who` and `Unknown reason` for `--why`),systemd-inhibit --who $USER --why reason --what operation command Reboot the system,systemctl reboot Reboot into the BIOS/UEFI menu,systemctl reboot --firmware-setup Search for a string in tracked files,git grep search_string Search for a string in files matching a pattern in tracked files,git grep search_string -- file_glob_pattern "Search for a string in tracked files, including submodules",git grep --recurse-submodules search_string Search for a string at a specific point in history,git grep search_string HEAD~2 Search for a string across all branches,git grep search_string $(git rev-list --all) Create the directory structure used by Zola at the given directory,zola init my_site Build the whole site in the `public` directory after deleting it,zola build Build the whole site into a different directory,zola build --output-dir path/to/output_directory/ Build and serve the site using a local server (default is `127.0.0.1:1111`),zola serve "Build all pages just like the build command would, but without writing any of the results to disk",zola check Lock every console (require current user or root to unlock),physlock Mute kernel messages on console while locked,physlock -m Disable SysRq mechanism while locked,physlock -s Display a message before the password prompt,"physlock -p ""Locked!""" Fork and detach physlock (useful for suspend or hibernate scripts),physlock -d Run a specific command using the same namespaces as an existing process,nsenter --target pid --all command command_arguments Run a specific command in an existing process's mount|UTS|IPC|network|PID|user|cgroup|time namespace,nsenter --target pid --mount|uts|ipc|net|pid|user|cgroup command command_arguments "Run a specific command in an existing process's UTS, time, and IPC namespaces",nsenter --target pid --uts --time --ipc -- command command_arguments Run a specific command in an existing process's namespace by referencing procfs,nsenter --pid=/proc/pid/pid/net -- command command_arguments Test if a given variable is equal/not equal to the specified string,"[ ""$variable"" =|!= ""string"" ]" Test if a given variable is [eq]ual/[n]ot [e]qual/[g]reater [t]han/[l]ess [t]han/[g]reater than or [e]qual/[l]ess than or [e]qual to the specified number,"[ ""$variable"" -eq|ne|gt|lt|ge|le integer ]" Test if the specified variable has a [n]on-empty value,"[ -n ""$variable"" ]" Test if the specified variable has an empty value,"[ -z ""$variable"" ]" Test if the specified [f]ile exists,[ -f path/to/file ] Test if the specified [d]irectory exists,[ -d path/to/directory ] Test if the specified file or directory [e]xists,[ -e path/to/file_or_directory ] Display all information about the ELF file,readelf -all path/to/binary Display all the headers present in the ELF file,readelf --headers path/to/binary "Display the entries in symbol table section of the ELF file, if it has one",readelf --symbols path/to/binary Display ELF header information,readelf --file-header path/to/binary Display ELF section header information,readelf --section-headers path/to/binary List all the installed extensions,gnome-extensions list Display information about a specific extension,"gnome-extensions info ""extension_id""" Enable a specific extension,"gnome-extensions enable ""extension_id""" Disable a specific extension,"gnome-extension disable ""extension_id""" Uninstall a specific extension,"gnome-extension uninstall ""extension_id""" Display help for a specific subcommand (like `list`),gnome-extensions help subcommand Display version,gnome-extensions version Install a specific version of Node.js,fnm install node_version List all available Node.js versions and highlight the default one,fnm list Use a specific version of Node.js in the current shell,fnm use node_version Set the default Node.js version,fnm default node_version Uninstall a given Node.js version,fnm uninstall node_version Convert command output to JSON via pipe,ifconfig | jc --ifconfig Convert command output to JSON via magic syntax,jc ifconfig Output pretty JSON via pipe,ifconfig | jc --ifconfig -p Output pretty JSON via magic syntax,jc -p ifconfig Take a specific secret key and generate a text file with the secret data,paperkey --secret-key path/to/secret_key.gpg --output path/to/secret_data.txt Take the secret key data in `secret_data.txt` and combine it with the public key to reconstruct the secret key,paperkey --pubring path/to/public_key.gpg --secrets path/to/secret_data.txt --output secret_key.gpg Export a specific secret key and generate a text file with the secret data,gpg --export-secret-key key | paperkey --output path/to/secret_data.txt Build a D source file,dmd path/to/source.d Generate code for all template instantiations,dmd -allinst Control bounds checking,dmd -boundscheck=on|safeonly|off List information on all available checks,dmd -check=h|help|? Turn on colored console output,dmd -color Scan a remote repository,gitleaks detect --repo-url https://github.com/username/repository.git Scan a local directory,gitleaks detect --source path/to/repository Output scan results to a JSON file,gitleaks detect --source path/to/repository --report path/to/report.json Use a custom rules file,gitleaks detect --source path/to/repository --config-path path/to/config.toml Start scanning from a specific commit,gitleaks detect --source path/to/repository --log-opts --since=commit_id Scan uncommitted changes before a commit,gitleaks protect --staged Display verbose output indicating which parts were identified as leaks during the scan,gitleaks protect --staged --verbose View current configuration values,kaggle config view Download a specific file from a competition dataset,kaggle competitions download competition -f filename Merge the pull request associated with the current branch interactively,gh pr merge "Merge the specified pull request, interactively",gh pr merge pr_number "Merge the pull request, removing the branch on both the local and the remote",gh pr merge --delete-branch Merge the current pull request with the specified merge strategy,gh pr merge --merge|squash|rebase Merge the current pull request with the specified merge strategy and commit message,gh pr merge --merge|squash|rebase --subject commit_message Squash the current pull request into one commit with the message body and merge,"gh pr merge --squash --body=""commit_message_body""" Display help,gh pr merge --help Launch an attack lasting 30 seconds,"echo ""GET https://example.com"" | vegeta attack -duration=30s" Launch an attack on a server with a self-signed HTTPS certificate,"echo ""GET https://example.com"" | vegeta attack -insecure -duration=30s" Launch an attack with a rate of 10 requests per second,"echo ""GET https://example.com"" | vegeta attack -duration=30s -rate=10" Launch an attack and display a report,"echo ""GET https://example.com"" | vegeta attack -duration=30s | vegeta report" Launch an attack and plot the results on a graph (latency over time),"echo ""GET https://example.com"" | vegeta attack -duration=30s | vegeta plot > path/to/results.html" Launch an attack against multiple URLs from a file,vegeta attack -duration=30s -targets=requests.txt | vegeta report View documentation for the current command,tldr pngtopam View documentation for the current command,tldr pbmtosunicon Open an LXTerminal window,lxterminal "Open an LXTerminal window, run a command, and then exit","lxterminal -e ""command""" Open an LXTerminal window with multiple tabs,"lxterminal --tabs=tab_name1,tab_name2,..." Open an LXTerminal window with a specific title,lxterminal --title=title_name Open an LXTerminal window with a specific working directory,lxterminal --working-directory=path/to/directory Analyze one or more directories,phpstan analyse path/to/directory1 path/to/directory2 ... Analyze a directory using a configuration file,phpstan analyse path/to/directory --configuration path/to/config "Analyze using a specific rule level (0-7, higher is stricter)",phpstan analyse path/to/directory --level level Specify an autoload file to load before analyzing,phpstan analyse path/to/directory --autoload-file path/to/autoload_file Specify a memory limit during analysis,phpstan analyse path/to/directory --memory-limit memory_limit Display available options for analysis,phpstan analyse --help Kill all processes which match,"pkill ""process_name""" Kill all processes which match their full command instead of just the process name,"pkill -f ""command_name""" Force kill matching processes (can't be blocked),"pkill -9 ""process_name""" Send SIGUSR1 signal to processes which match,"pkill -USR1 ""process_name""" Kill the main `firefox` process to close the browser,"pkill --oldest ""firefox""" Return a successful exit code,true "Print a summary for an image (width, height, and color depth)",pngcheck path/to/image.png Print information for an image with [c]olorized output,pngcheck -c path/to/image.png Print [v]erbose information for an image,pngcheck -cvt path/to/image.png Receive an image from `stdin` and display detailed information,cat path/to/image.png | pngcheck -cvt [s]earch for PNGs within a specific file and display information about them,pngcheck -s path/to/image.png Search for PNGs within another file and e[x]tract them,pngcheck -x path/to/image.png Show the feed of a given URL and wait for new entries appearing at the bottom,rsstail -u url Show the feed in reverse chronological order (newer at the bottom),rsstail -r -u url Include publication date and link,rsstail -pl -u url Set update interval,rsstail -u url -i interval_in_seconds Show feed and exit,rsstail -1 -u url Use an existing location as workspace path,azurite -l|--location path/to/directory Disable access log displayed in console,azurite -s|--silent Enable debug log by providing a file path as log destination,azurite -d|--debug path/to/debug.log Customize the listening address of Blob/Queue/Table service,azurite --blobHost|--queueHost|--tableHost 0.0.0.0 Customize the listening port of Blob/Queue/Table service,azurite --blobPort|--queuePort|--tablePort 8888 Recursively archive all files in the current directory into a .jar file,jar cf file.jar * Unzip .jar/.war file to the current directory,jar -xvf file.jar List a .jar/.war file content,jar tf path/to/file.jar List a .jar/.war file content with verbose output,jar tvf path/to/file.jar Send the last commit in the current branch interactively,git send-email -1 Send a given commit,git send-email -1 commit Send multiple (e.g. 10) commits in the current branch,git send-email -10 Send an introductory email message for the patch series,git send-email -number_of_commits --compose Review and edit the email message for each patch you're about to send,git send-email -number_of_commits --annotate Store a reference by a name,git symbolic-ref refs/name ref "Store a reference by name, including a message with a reason for the update","git symbolic-ref -m ""message"" refs/name refs/heads/branch_name" Read a reference by name,git symbolic-ref refs/name Delete a reference by name,git symbolic-ref --delete refs/name "For scripting, hide errors with `--quiet` and use `--short` to simplify (""refs/heads/X"" prints as ""X"")",git symbolic-ref --quiet --short refs/name Start the daemon,slurmstepd Display the default device,eject -d Eject the default device,eject "Eject a specific device (the default order is cd-rom, scsi, floppy and tape)",eject /dev/cdrom Toggle whether a device's tray is open or closed,eject -T /dev/cdrom Eject a cd drive,eject -r /dev/cdrom Eject a floppy drive,eject -f /mnt/floppy Eject a tape drive,eject -q /mnt/tape Generate a simple regular expression,grex space_separated_strings Generate a case-insensitive regular expression,grex -i space_separated_strings Replace digits with '\d',grex -d space_separated_strings Replace Unicode word character with '\w',grex -w space_separated_strings Replace spaces with '\s',grex -s space_separated_strings "Add {min, max} quantifier representation for repeating sub-strings",grex -r space_separated_strings Open a file,ex path/to/file Save and Quit,wq Undo the last operation,undo Search for a pattern in the file,/search_pattern Perform a regular expression substitution in the whole file,%s/regular_expression/replacement/g Insert text,itext Switch to Vim,visual Convert a PCX file to a PPM image,pcxtoppm path/to/file.pcx > path/to/file.ppm Use a predefined standard palette even if the PCX file provides one,pcxtoppm -stdpalette path/to/file.pcx > path/to/file.ppm Print information on the PCX header to `stdout`,pcxtoppm -verbose path/to/file.pcx > path/to/file.ppm Mount a device below `/media/` (using device as mount point),pmount /dev/to/block/device Mount a device with a specific filesystem type to `/media/label`,pmount --type filesystem /dev/to/block/device label Mount a CD-ROM (filesystem type ISO9660) in read-only mode,pmount --type iso9660 --read-only /dev/cdrom "Mount an NTFS-formatted disk, forcing read-write access",pmount --type ntfs --read-write /dev/sdX Display all mounted removable devices,pmount Run the specified command with uncompressed versions of the compressed argument files,zrun cat path/to/file1.gz path/to/file2.bz2 ... "Push changes to the ""default"" remote path",hg push Push changes to a specified remote repository,hg push path/to/destination_repository Push a new branch if it does not exist (disabled by default),hg push --new-branch Specify a specific revision changeset to push,hg push --rev revision Specify a specific branch to push,hg push --branch branch Specify a specific bookmark to push,hg push --bookmark bookmark Start a REPL (interactive shell),ptpython Execute a specific Python file,ptpython path/to/file.py Execute a specific Python file and start a REPL,ptpython -i path/to/file.py Open the menu,F2 Open the history page,F3 Toggle paste mode,F6 Quit, + D Run a JavaScript or TypeScript file,deno run path/to/file.ts Start a REPL (interactive shell),deno Run a file with network access enabled,deno run --allow-net path/to/file.ts Run a file from a URL,deno run https://deno.land/std/examples/welcome.ts Install an executable script from a URL,deno install https://deno.land/std/examples/colors.ts Convert to UTF-8 encoding,nkf -w path/to/file.txt Convert to SHIFT_JIS encoding,nkf -s path/to/file.txt Convert to UTF-8 encoding and overwrite the file,nkf -w --overwrite path/to/file.txt Use LF as the new line code and overwrite (UNIX type),nkf -d --overwrite path/to/file.txt Use CRLF as the new line code and overwrite (windows type),nkf -c --overwrite path/to/file.txt Decrypt mime file and overwrite,nkf -m --overwrite path/to/file.txt Create a new Poetry project in the directory with a specific name,poetry new project_name Install and add a dependency and its sub-dependencies to the `pyproject.toml` file in the current directory,poetry add dependency Install the project dependencies using the `pyproject.toml` file in the current directory,poetry install Interactively initialize the current directory as a new Poetry project,poetry init Get the latest version of all dependencies and update `poetry.lock`,poetry update Execute a command inside the project's virtual environment,poetry run command Bump the version of the project in `pyproject.toml`,poetry version patch|minor|major|prepatch|preminor|premajor|prerelease Spawn a shell within the project's virtual environment,poetry shell Install or update a given toolchain,rustup install toolchain Uninstall a toolchain,rustup uninstall toolchain List installed toolchains,rustup list Create a custom toolchain by symlinking to a directory,rustup link custom_toolchain_name path/to/directory Add an article to the RSS feed,sup path/to/file.html View documentation for the original command,tldr chromium Show only the file name from a path,basename path/to/file Show only the rightmost directory name from a path,basename path/to/directory "Show only the file name from a path, with a suffix removed",basename path/to/file suffix Open a `zstd` compressed file,zstdless path/to/file.zst Display information about volume groups,vgs Display all volume groups,vgs -a Change default display to show more details,vgs -v Display only specific fields,"vgs -o field_name_1,field_name_2" Append field to default display,vgs -o +field_name Suppress heading line,vgs --noheadings Use separator to separate fields,vgs --separator = Create a fat filesystem inside partition 1 on device b (`sdb1`),mkfs.fat /dev/sdb1 Create filesystem with a volume-name,mkfs.fat -n volume_name /dev/sdb1 Create filesystem with a volume-id,mkfs.fat -i volume_id /dev/sdb1 Use 5 instead of 2 file allocation tables,mkfs.fat -f 5 /dev/sdb1 New annotations to set (default []),crane mutate -a|--annotation/-l|--label annotation/label Path to tarball/command/entrypoint/environment variable/exposed-ports to append to image,crane mutate --append/--cmd/--entrypoint/-e|--env/--exposed-ports var1 var2 ... Path to new tarball of resulting image,crane mutate -o|--output path/to/tarball "Repository in the form os/arch{{/variant}}{{:osversion}}{{,}} to push mutated image",crane mutate --set-platform platform_name New tag reference to apply to mutated image,crane mutate -t|--tag tag_name New user to set,crane mutate -u|--user username New working dir to set,crane mutate -w|--workdir path/to/workdir Display help,crane mutate -h|--help Start `bpytop`,bpytop Start in minimal mode without memory and networking boxes,bpytop -m Toggle minimal mode,m Search for running programs or processes,f Change settings,M Display version,bpytop -v Display default information about a font,fc-pattern --default 'DejaVu Serif' Display configuration information about a font,fc-pattern --config 'DejaVu Serif' Produce a grayscale version of the specified PPM image,ppmdist path/to/input.ppm > path/to/output.pgm Use the specified method to map colors to graylevels,ppmdist -frequency|intensity path/to/input.ppm > path/to/output.pgm Remove containers,docker rm container1 container2 ... Force remove a container,docker rm --force container1 container2 ... Remove a container and its volumes,docker rm --volumes container Display help,docker rm --help Expand a given acronym,wtf IMO Specify a computer related search type,wtf -t comp WWW List labels for the repository in the current directory,gh label list View labels for the repository in the current directory in the default web browser,gh label list --web "Create a label with a specific name, description and color in hexadecimal format for the repository in the current directory","gh label create name --description ""description"" --color color_hex" "Delete a label for the repository in the current directory, prompting for confirmation",gh label delete name Update the name and description for a specific label for the repository in the current directory,"gh label edit name --name new_name --description ""description""" Clone labels from a specific repository into the repository in the current directory,gh label clone owner/repository Display help for a subcommand,gh label subcommand --help List all currently pending system password requests,systemd-tty-ask-password-agent --list Continuously process password requests,systemd-tty-ask-password-agent --watch Process all currently pending system password requests by querying the user on the calling TTY,systemd-tty-ask-password-agent --query Forward password requests to wall instead of querying the user on the calling TTY,systemd-tty-ask-password-agent --wall Generate a Zip file with information about the system configuration and the WARP connection,warp-diag Generate a Zip file with debug information including a timestamp to the output filename,warp-diag --add-ts Save the output file under a specific directory,warp-diag --output path/to/directory Submit a new feedback to Cloudflare's WARP interactively,warp-diag feedback Update the changelog file and tag a release,standard-version Tag a release without bumping the version,standard-version --first-release Update the changelog and tag an alpha release,standard-version --prerelease alpha Update the changelog and tag a specific release type,standard-version --release-as major|minor|patch "Tag a release, preventing hooks from being verified during the commit step",standard-version --no-verify "Tag a release committing all staged changes, not just files affected by `standard-version`",standard-version --commit-all Update a specific changelog file and tag a release,standard-version --infile path/to/file.md Display the release that would be performed without performing them,standard-version --dry-run List all files inside a compressed archive,lz path/to/file.tar.gz Convert a MacPaint file into a PGM image,macptopbm path/to/file.macp > path/to/output.pbm Skip over a specified number of bytes when reading the file,macptopbm -extraskip N > path/to/output.pbm Suppress all informational messages,macptopbm -quiet > path/to/output.pbm Display version,macptopbm -version Display the MIME type of a file,xdg-mime query filetype path/to/file Display the default application for opening PNGs,xdg-mime query default image/png Display the default application for opening a specific file,xdg-mime query default $(xdg-mime query filetype path/to/file) Set imv as the default application for opening PNG and JPEG images,xdg-mime default imv.desktop image/png image/jpeg Convert PNM files to an HP LaserJet PCL XL printer stream,pnmtopclxl path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pclxl Specify the resolution of the image as well as the location of the page from the upper left corner of each image,pnmtopclxl -dpi resolution -xoffs x_offset -yoffs y_offset path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pclxl Generate a duplex printer stream for the specified paper format,pnmtopclxl -duplex vertical|horizontal -format letter|legal|a3|a4|a5|... path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pclxl List all buckets,aws s3 ls List files and folders in the root of a bucket (`s3://` is optional),aws s3 ls s3://bucket_name List files and folders directly inside a directory,aws s3 ls bucket_name/path/to/directory/ List all files in a bucket,aws s3 ls --recursive bucket_name List all files in a path with a given prefix,aws s3 ls --recursive bucket_name/path/to/directory/prefix Display help,aws s3 ls help List detectable virtualization technologies,systemd-detect-virt --list "Detect virtualization, print the result and return a zero status code when running in a VM or a container, and a non-zero code otherwise",systemd-detect-virt Silently check without printing anything,systemd-detect-virt --quiet Only detect container virtualization,systemd-detect-virt --container Only detect hardware virtualization,systemd-detect-virt --vm "Clone a virtual machine and automatically generate a new name, storage path, and MAC address",virt-clone --original vm_name --auto-clone "Clone a virtual machine and specify the new name, storage path, and MAC address",virt-clone --original vm_name --name new_vm_name --file path/to/new_storage --mac ff:ff:ff:ff:ff:ff|RANDOM List currently running Docker machines,docker-machine ls Create a new Docker machine with specific name,docker-machine create name Get the status of a machine,docker-machine status name Start a machine,docker-machine start name Stop a machine,docker-machine stop name Inspect information about a machine,docker-machine inspect name Generate a test pattern for printing onto US standard paper,pbmpage > path/to/file.pbm Generate a test pattern for printing onto A4 paper,pbmpage -a4 > path/to/file.pbm Specify the pattern to use,pbmpage 1|2|3 > path/to/file.pbm Configure the client with a connection to a Tezos RPC node such as ,octez-client -E endpoint config update Create an account and assign a local alias to it,octez-client gen keys alias Get the balance of an account by alias or address,octez-client get balance for alias_or_address Transfer tez to a different account,octez-client transfer 5 from alias|address to alias|address "Originate (deploy) a smart contract, assign it a local alias, and set its initial storage as a Michelson-encoded value","octez-client originate contract alias transferring 0 from alias|address running path/to/source_file.tz --init ""initial_storage"" --burn_cap 1" Call a smart contract by its alias or address and pass a Michelson-encoded parameter,"octez-client transfer 0 from alias|address to contract --entrypoint ""entrypoint"" --arg ""parameter"" --burn-cap 1" Display help,octez-client man Display the virtual machine configuration,qm config vm_id Display the current configuration values instead of pending values for the virtual machine,qm config --current true vm_id Fetch the configuration values from the given snapshot,qm config --snapshot snapshot_name vm_id Resume the most recently suspended job and run it in the background,bg Resume a specific job (use `jobs -l` to get its ID) and run it in the background,bg %job_id "Compare DVC tracked files from different Git commits, tags, and branches w.r.t the current workspace",dvc diff commit_hash/tag/branch Compare the changes in DVC tracked files from 1 Git commit to another,dvc diff revision1 revision2 "Compare DVC tracked files, along with their latest hash",dvc diff --show-hash commit "Compare DVC tracked files, displaying the output as JSON",dvc diff --show-json --show-hash commit "Compare DVC tracked files, displaying the output as Markdown",dvc diff --show-md --show-hash commit Show CPU frequency information for all CPUs,cpufreq-info Show CPU frequency information for the specified CPU,cpufreq-info -c cpu_number Show the allowed minimum and maximum CPU frequency,cpufreq-info -l Show the current minimum and maximum CPU frequency and policy in table format,cpufreq-info -o Show available CPU frequency policies,cpufreq-info -g "Show current CPU work frequency in a human-readable format, according to the cpufreq kernel module",cpufreq-info -f -m "Show current CPU work frequency in a human-readable format, by reading it from hardware (only available to root)",sudo cpufreq-info -w -m Display all filesystems and their disk usage using 512-byte units,df Display the filesystem and its disk usage containing the given file or directory,df path/to/file_or_directory Use 1024-byte units when writing space figures,df -k Display information in a portable way,df -P Create an image from a file using default settings,carbon-now path/to/file Create an image from a text in clipboard using default settings,carbon-now --from-clipboard Create an image from `stdin` using default settings and copy to the clipboard,input | carbon-now --to-clipboard Create images [i]nteractively for custom settings and optionally save a preset,carbon-now -i path/to/file Create images from a previously saved [p]reset,carbon-now -p preset path/to/file [s]tart at a specified line of text,carbon-now -s line path/to/file [e]nd at a specific line of text,carbon-now -e line path/to/file Open image in a browser instead of saving,carbon-now --open path/to/file Browse for SSH servers,bssh Browse for VNC servers,bssh --vnc Browse for both SSH and VNC servers,bssh --shell Browse for SSH servers in a specified domain,bssh --domain domain Read a PNM image as input and produce a PNG image as output,pnmtopng path/to/file.pnm > path/to/file.png Display version,pnmtopng -version "Process input with pictures, saving the output for future typesetting with groff to PostScript",pic path/to/input.pic > path/to/output.roff Typeset input with pictures to PDF using the [me] macro package,pic -T pdf path/to/input.pic | groff -me -T pdf > path/to/output.pdf Start editing a zone,knotc zone-begin zone Set an A record with TTL of 3600,knotc zone-set zone subdomain 3600 A ip_address Finish editing the zone,knotc zone-commit zone Get the current zone data,knotc zone-read zone Get the current server configuration,knotc conf-read server Restore an archive into an existing database,pg_restore -d db_name archive_file.dump "Same as above, customize username",pg_restore -U username -d db_name archive_file.dump "Same as above, customize host and port",pg_restore -h host -p port -d db_name archive_file.dump List database objects included in the archive,pg_restore --list archive_file.dump Clean database objects before creating them,pg_restore --clean -d db_name archive_file.dump Use multiple jobs to do the restoring,pg_restore -j 2 -d db_name archive_file.dump Convert a PBM image to a MDA file,pbmtomda path/to/image.pbm > path/to/output.mda Invert the colors in the input image,pbmtomda -i path/to/image.pbm > path/to/output.mda Halve the input image's height,pbmtomda -d path/to/image.pbm > path/to/output.mda Log in with interactive prompt,glab auth login Log in with a token,glab auth login --token token Check authentication status,glab auth status Log in to a specific GitLab instance,glab auth login --hostname gitlab.example.com View documentation for the original command,tldr hping3 Forward the external TCP port 80 to port 8080 on a local machine,upnpc -a 192.168.0.1 8080 80 tcp Delete any port redirection for external TCP port 80,upnpc -d 80 tcp Get information about UPnP devices on your network,upnpc -s List existing redirections,upnpc -l Format a partition with `bcachefs`,sudo bcachefs format path/to/partition Mount a `bcachefs` filesystem,sudo bcachefs mount path/to/partition path/to/mountpoint Create a RAID 0 filesystem where an SSD acts as a cache and an HDD acts as a long-term storage,sudo bcachefs format --label=ssd.ssd1 path/to/ssd/partition --label=hdd.hdd1 path/to/hdd/partition --replicas=1 --foreground_target=ssd --promote_target=ssd --background_target=hdd Mount a multidevice filesystem,sudo bcachefs mount path/to/partition1:path/to/partition2 path/to/mountpoint Display disk usage,bcachefs fs usage --human-readable path/to/mountpoint Set replicas after formatting and mounting,sudo bcachefs set-fs-option --metadata_replicas=2 --data_replicas=2 path/to/partition Force `bcachefs` to ensure all files are replicated,sudo bcachefs data rereplicate path/to/mountpoint Display help,bcachefs Convert a PICT file to a PPM image,picttoppm path/to/file.pict > path/to/file.ppm Force any images in the PICT file to be output at full resolution,picttoppm -fullres path/to/file.pict > path/to/file.ppm Do not assume that the input file contains a PICT header and execute quickdraw operations only,picttoppm -noheader -quickdraw path/to/file.pict > path/to/file.ppm List available bookmarks,l "Save the current directory as ""bookmark_name""",s bookmark_name Go to a bookmarked directory,g bookmark_name Print a bookmarked directory's contents,p bookmark_name Delete a bookmark,d bookmark_name Remove a dependency from the current project,cargo remove dependency Remove a development or build dependency,cargo remove --dev|build dependency Remove a dependency of the given target platform,cargo remove --target target dependency Initialize `git-secret` in a local repository,git secret init Grant access to the current Git user's email,git secret tell -m Grant access by email,git secret tell email Revoke access by email,git secret killperson email List emails with access to secrets,git secret whoknows Register a secret file,git secret add path/to/file Encrypt secrets,git secret hide Decrypt secret files,git secret reveal Start an interactive shell with a new database,sqlite3 Open an interactive shell against an existing database,sqlite3 path/to/database.sqlite3 Execute an SQL statement against a database and then exit,sqlite3 path/to/database.sqlite3 'SELECT * FROM some_table;' List all file labelling rules,sudo semanage fcontext --list List all user-defined file labelling rules without headings,sudo semanage fcontext --list --locallist --noheading Add a user-defined rule that labels any path which matches a PCRE regex,sudo semanage fcontext --add --type samba_share_t '/mnt/share(/.*)?' Delete a user-defined rule using its PCRE regex,sudo semanage fcontext --delete '/mnt/share(/.*)?' Relabel a directory recursively by applying the new rules,restorecon -R -v path/to/directory View documentation for the original command,tldr xzgrep Show all clipboards,cb Copy a file to the clipboard,cb copy path/to/file Copy some text to the clipboard,"cb copy ""Some example text""" Copy piped data to the clipboard,"echo ""Some example text"" | cb" Paste clipboard content,cb paste Pipe out clipboard content,cb | cat Show clipboard history,cb history Show clipboard information,cb info View documentation for the current command,tldr pamenlarge Search for lines beginning with a specific prefix in a specific file,look prefix path/to/file Case-insensitively ([f]) search only on alphanumeric characters ([d]),look -f -d prefix path/to/file Specify a string [t]ermination character (space by default),"look -t ," Search in `/usr/share/dict/words` (`-d` and `-f` are assumed),look prefix Convert a RAST image to a PNM file,rasttopnm path/to/input.rast > path/to/output.pnm Use the color map indices in the raster if they are color values,rasttopnm -index path/to/input.rast > path/to/output.pnm Compare diff files,interdiff old_file new_file "Compare diff files, ignoring whitespace",interdiff -w old_file new_file View a presentation,tpp path/to/file Output a presentation,tpp -t type -o path/to/output path/to/file Synchronize all packages,emerge --sync "Update all packages, including dependencies",emerge -uDNav @world "Resume a failed updated, skipping the failing package",emerge --resume --skipfirst "Install a new package, with confirmation",emerge -av package "Remove a package, with confirmation",emerge -Cav package Remove orphaned packages (that were installed only as dependencies),emerge -avc Search the package database for a keyword,emerge -S keyword Run a program using a dedicated Nvidia GPU,prime-run command Validate whether the Nvidia card is being used,"prime-run glxinfo | grep ""OpenGL renderer""" Execute a `dolt` subcommand,dolt subcommand List available subcommands,dolt help Launch a specific application with arguments,cs launch application_name -- argument1 argument2 ... Launch a specific application version with arguments,cs launch application_name:application_version -- argument1 argument2 ... Launch a specific version of an application specifying which is the main file,cs launch group_id:artifact_id:artifact_version --main-class path/to/main_class_file Launch an application with specific Java options and JVM memory ones,cs launch --java-opt -Doption_name1:option_value1 -Doption_name2:option_value2 ... --java-opt -Xjvm_option1 -Xjvm_option2 ... application_name "Calculate the SHA256 digest for a file, saving the result to a specific file",openssl dgst -sha256 -binary -out output_file input_file "Sign a file using an RSA key, saving the result to a specific file",openssl dgst -sign private_key_file -sha256 -sigopt rsa_padding_mode:pss -out output_file input_file Verify an RSA signature,openssl dgst -verify public_key_file -signature signature_file -sigopt rsa_padding_mode:pss signature_message_file Sign a file using and ECDSA key,openssl dgst -sign private_key_file -sha256 -out output_file input_file Verify an ECDSA signature,openssl dgst -verify public_key_file -signature signature_file signature_message_file Display a report for one or more files,filefrag path/to/file1 path/to/file2 ... Display a report using a 1024 byte blocksize,filefrag -k path/to/file Display a report using a certain blocksize,filefrag -b1024|1K|1M|1G|... path/to/file Sync the file before requesting the mapping,filefrag -s path/to/file1 path/to/file2 ... Display mapping of extended attributes,filefrag -x path/to/file1 path/to/file2 ... Display a report with verbose information,filefrag -v path/to/file1 path/to/file2 ... "Produce three tab-separated columns: lines only in first file, lines only in second file and common lines",comm file1 file2 Print only lines common to both files,comm -12 file1 file2 "Print only lines common to both files, reading one file from `stdin`",cat file1 | comm -12 - file2 "Get lines only found in first file, saving the result to a third file",comm -23 file1 file2 > file1_only "Print lines only found in second file, when the files aren't sorted",comm -13 <(sort file1) <(sort file2) Check status,espanso status Edit the configuration,espanso edit config Install a package from the hub store (),espanso install package "Restart (required after installing a package, useful in case of failure)",espanso restart Play test video in a window,gst-launch-1.0 videotestsrc ! xvimagesink Play a media file in a window,gst-launch-1.0 playbin uri=protocol://host/path/to/file Re-encode a media file,gst-launch-1.0 filesrc location=path/to/file ! file_typedemux ! codec_typedec ! codec_typeenc ! file_typemux ! filesink location=path/to/file Stream a file to an RTSP server,gst-launch-1.0 filesrc location=path/to/file ! rtspclientsink location=rtsp://host_IP/path/to/file Scan for available networks,wpa_cli scan Show scan results,wpa_cli scan_results Add a network,wpa_cli add_network number Set a network's SSID,"wpa_cli set_network number ssid ""SSID""" Enable network,wpa_cli enable_network number Save config,wpa_cli save_config Start in interactive mode,ddgr Search DuckDuckGo for a keyword,ddgr keyword Limit the number of search results to `N`,ddgr -n N keyword Display the complete URL in search results,ddgr -x keyword Search DuckDuckGo for a keyword and open the first result in the browser,ddgr !w keyword Perform a website-specific search,ddgr -w site keyword Search for a specific file type,ddgr keyword filetype:filetype Display help in interactive mode,? Launch the GNOME Software GUI if it's not already running,gnome-software "Launch the GNOME Software GUI if it's not open, and navigate to the specified page",gnome-software --mode updates|updated|installed|overview Launch the GNOME Software GUI if it's not open and view the details of the specified package,gnome-software --details package Display the version,gnome-software --version Convert a DocBook XML document to PDF format,xmlto pdf document.xml Convert a DocBook XML document to HTML format and store the resulting files in a separate directory,xmlto -o path/to/html_files html document.xml Convert a DocBook XML document to a single HTML file,xmlto html-nochunks document.xml Specify a stylesheet to use while converting a DocBook XML document,xmlto -x stylesheet.xsl output_format document.xml Create a new Redis cache instance,az redis create --location location --name name --resource-group resource_group --sku Basic|Premium|Standard --vm-size c0|c1|c2|c3|c4|c5|c6|p1|p2|p3|p4|p5 Update a Redis cache,az redis update --name name --resource-group resource_group --sku Basic|Premium|Standard --vm-size c0|c1|c2|c3|c4|c5|c6|p1|p2|p3|p4|p5 Export data stored in a Redis cache,az redis export --container container --file-format file-format --name name --prefix prefix --resource-group resource_group Delete a Redis cache,az redis delete --name name --resource-group resource_group --yes Type check a specific file,mypy path/to/file.py Type check a specific [m]odule,mypy -m module_name Type check a specific [p]ackage,mypy -p package_name Type check a string of code,"mypy -c ""code""" Ignore missing imports,mypy --ignore-missing-imports path/to/file_or_directory Show detailed error messages,mypy --show-traceback path/to/file_or_directory Specify a custom configuration file,mypy --config-file path/to/config_file Display [h]elp,mypy -h "Replace any commit with a different one, leaving other commits unchanged",git replace object replacement Delete existing replace refs for the given objects,git replace --delete object Edit an object’s content interactively,git replace --edit object Commit staged files to the repository,hg commit Commit a specific file or directory,hg commit path/to/file_or_directory Commit with a specific message,hg commit --message message Commit all files matching a specified pattern,hg commit --include pattern "Commit all files, excluding those that match a specified pattern",hg commit --exclude pattern Commit using the interactive mode,hg commit --interactive Power off the machine,telinit 0 Reboot the machine,telinit 6 Change SysV run level,telinit 2|3|4|5 Change to rescue mode,telinit 1 Reload daemon configuration,telinit q Do not send a wall message before reboot/power-off (6/0),telinit --no-wall value Check pathnames for validity in the current system,pathchk path1 path2 … Check pathnames for validity on a wider range of POSIX compliant systems,pathchk -p path1 path2 … Check pathnames for validity on all POSIX compliant systems,pathchk --portability path1 path2 … Only check for empty pathnames or leading dashes (-),pathchk -P path1 path2 … Convert a PAM image to an XV thumbnail picture,pamtoxvmini path/to/input_file.pam > path/to/output_file Update a PO file according to the modification of its origin file,po4a-updatepo --format text --master path/to/master.txt --po path/to/result.po List available formats,po4a-updatepo --help-format Update several PO files according to the modification of their origin file,po4a-updatepo --format text --master path/to/master.txt --po path/to/po1.po --po path/to/po2.po Execute all programs in the autostart folders,dex --autostart Execute all programs in the specified folders,dex --autostart --search-paths path/to/directory1:path/to/directory2:path/to/directory3: Preview the programs would be executed in a GNOME specific autostart,dex --autostart --environment GNOME Preview the programs would be executed in a regular autostart,dex --autostart --dry-run Preview the value of the DesktopEntry property `Name`,dex --property Name path/to/file.desktop Create a DesktopEntry for a program in the current directory,dex --create path/to/file.desktop Execute a single program (with `Terminal=true` in the desktop file) in the given terminal,dex --term terminal path/to/file.desktop Create an storage account,az storage account create --name storage_account_name --resource-group azure_resource_group --location azure_location --sku storage_account_sku Generate a shared access signature for a specific storage account,az storage account generate-sas --account-name storage_account_name --name account_name --permissions sas_permissions --expiry expiry_date --services storage_services --resource-types resource_types List storage accounts,az storage account list --resource-group azure_resource_group Delete a specific storage account,az storage account delete --name storage_account_name --resource-group azure_resource_group Start the GUI,git cola Start the GUI in amend mode,git cola --amend Prompt for a Git repository. Defaults to the current directory,git cola --prompt Open the Git repository at mentioned path,git cola --repo path/to/git-repository Apply the path filter to the status widget,git cola --status-filter filter Display the full list of builtin commands,help Print instructions on how to use the `while` loop construct,help while Print instructions on how to use the `for` loop construct,help for Print instructions on how to use `[[ ]]` for conditional commands,help [[ ]] Print instruction on how to use `(( ))` to evaluate arithmetic expressions,help \( \) Print instructions on how to use the `cd` command,help cd View documentation for `resolvectl`,tldr resolvectl Display the status,dolt status Find hosts in the local network with SMB shares,nmblookup -S '*' Find hosts in the local network with SMB shares run by SAMBA,nmblookup --status __SAMBA__ Run a development server,flask run Show the routes for the app,flask routes Run a Python interactive shell in the app's context,flask shell Perform a dry run (print what would be done without actually doing it),mkinitcpio Generate a ramdisk environment based on the `linux` preset,mkinitcpio --preset linux Generate a ramdisk environment based on the `linux-lts` preset,mkinitcpio --preset linux-lts Generate ramdisk environments based on all existing presets (used to regenerate all the initramfs images after a change in `/etc/mkinitcpio.conf`),mkinitcpio --allpresets Generate an initramfs image using an alternative configuration file,mkinitcpio --config path/to/mkinitcpio.conf --generate path/to/initramfs.img Generate an initramfs image for a kernel other than the one currently running (the installed kernel releases can be found in `/usr/lib/modules/`),mkinitcpio --kernel kernel_version --generate path/to/initramfs.img List all available hooks,mkinitcpio --listhooks Display help for a specific hook,mkinitcpio --hookhelp hook_name View the man page for a given command from the default toolchain,rustup man command View the man page for a given command from the specified toolchain,rustup man --toolchain command List installed extension images,systemd-sysext list Merge system extension images into `/usr/` and `/opt/`,systemd-sysext merge Check the current merge status,systemd-sysext status Unmerge all currently installed system extension images from `/usr/` and `/opt/`,systemd-sysext unmerge Refresh system extension images (a combination of `unmerge` and `merge`),systemd-sysext refresh Inspect the headers of a file,xsv headers path/to/file.csv Count the number of entries,xsv count path/to/file.csv Get an overview of the shape of entries,xsv stats path/to/file.csv | xsv table Select a few columns,"xsv select column1,column2 path/to/file.csv" Show 10 random entries,xsv sample 10 path/to/file.csv Join a column from one file to another,xsv join --no-case column1 path/to/file1.csv column2 path/to/file2.csv | xsv table Start the record (creates a preliminary link file),in-toto-record start -n path/to/edit_file1 path/to/edit_file2 ... -k path/to/key_file -m . Stop the record (expects a preliminary link file),in-toto-record stop -n path/to/edit_file1 path/to/edit_file2 ... -k path/to/key_file -p . Interactively pick a specific option to print to `stdout`,"gum choose ""option_1"" ""option_2"" ""option_3""" Open an interactive prompt for the user to input a string with a specific placeholder,"gum input --placeholder ""value""" Open an interactive confirmation prompt and exit with either `0` or `1`,"gum confirm ""Continue?"" --default=false --affirmative ""Yes"" --negative ""No"" && echo ""Yes selected"" || echo ""No selected""" Show a spinner while a command is taking place with text alongside,"gum spin --spinner dot|line|minidot|jump|pulse|points|globe|moon|monkey|meter|hamburger --title ""loading..."" -- command" Format text to include emojis,"gum format -t emoji "":smile: :heart: hello""" Interactively prompt for multi-line text (CTRL + D to save) and write to `data.txt`,gum write > data.txt Decode a JWT,jwt decode jwt_string Decode a JWT as a JSON string,jwt decode -j jwt_string Encode a JSON string to a JWT,jwt encode --alg HS256 --secret 1234567890 'json_string' Encode key pair payload to JWT,jwt encode --alg HS256 --secret 1234567890 -P key=value Deploy the current directory,vercel Deploy the current directory to production,vercel --prod Deploy a directory,vercel path/to/project Initialize an example project,vercel init Deploy with Environment Variables,vercel --env ENV=var Build with Environment Variables,vercel --build-env ENV=var Set default regions to enable the deployment on,vercel --regions region_id Remove a deployment,vercel remove project_name Start `chronyc` in interactive mode,chronyc Display tracking stats for the Chrony daemon,chronyc tracking Print the time sources that Chrony is currently using,chronyc sources Display stats for sources currently used by chrony daemon as a time source,chronyc sourcestats "Step the system clock immediately, bypassing any slewing",chronyc makestep Display verbose information about each NTP source,chronyc ntpdata Create or update the package manifest,ebuild path/to/file.ebuild manifest Clean the temporary build directories for the build file,ebuild path/to/file.ebuild clean Fetch sources if they do not exist,ebuild path/to/file.ebuild fetch Extract the sources to a temporary build directory,ebuild path/to/file.ebuild unpack Compile the extracted sources,ebuild path/to/file.ebuild compile Install the package to a temporary install directory,ebuild path/to/file.ebuild install Install the temporary files to the live filesystem,ebuild path/to/file.ebuild qmerge "Fetch, unpack, compile, install and qmerge the specified ebuild file",ebuild path/to/file.ebuild merge Show files in a bucket,aws s3 ls bucket_name Sync files and directories from local to bucket,aws s3 sync path/to/file1 path/to/file2 ... s3://bucket_name Sync files and directories from bucket to local,aws s3 sync s3://bucket_name path/to/target Sync files and directories with exclusions,aws s3 sync path/to/file1 path/to/file2 ... s3://bucket_name --exclude path/to/file --exclude path/to/directory/* Remove file from bucket,aws s3 rm s3://bucket/path/to/file Preview changes only,aws s3 any_command --dryrun Perform a topological sort consistent with a partial sort per line of input separated by blanks,tsort path/to/file Perform a topological sort consistent on strings,"echo -e ""UI Backend\nBackend Database\nDocs UI"" | tsort" Start an X session,startx Start an X session with a predefined depth value,startx -- -depth value Start an X session with a predefined dpi value,startx -- -dpi value Override the settings in the `.xinitrc` file and start a new X session,startx /path/to/window_manager_or_desktop_environment Roll 3 6-sided dice and sums the results,roll 3d "Roll 1 8-sided die, add 3 and sum the results",roll d8 + 3 "Roll 4 6-sided dice, keep the 3 highest results and sum the results",roll 4d6h3 Roll 2 12-sided dice 2 times and show every roll,roll --verbose 2{2d12} Roll 2 20-sided dice until the result is bigger than 10,"roll ""2d20>10""" Roll 2 5-sided dice 3 times and show the total sum,roll --sum-series 3{2d5} Display help for a specific package,godoc fmt "Display help for the function ""Printf"" of ""fmt"" package",godoc fmt Printf Serve documentation as a web server on port 6060,godoc -http=:6060 Create an index file,godoc -write_index -index_files=path/to/file Use the given index file to search the docs,godoc -http=:6060 -index -index_files=path/to/file Start a service,sudo sv up path/to/service Stop a service,sudo sv down path/to/service Get service status,sudo sv status path/to/service Reload a service,sudo sv reload path/to/service "Start a service, but only if it's not running and don't restart it if it stops",sudo sv once path/to/service Open two files and show the differences,vimdiff path/to/file1 path/to/file2 Move the cursor to the window on the left|right, + w h|l Jump to the previous difference,[c Jump to the next difference,]c Copy the highlighted difference from the other window to the current window,do Copy the highlighted difference from the current window to the other window,dp Update all highlights and folds,:diffupdate Toggle the highlighted code fold,za Render a PNG image with a filename based on the input filename and output format (uppercase -O),sfdp -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),sfdp -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",sfdp -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | sfdp -T gif > path/to/image.gif" Display help,sfdp -? Display a tree of processes,pstree Display a tree of processes with PIDs,pstree -p Display all process trees rooted at processes owned by specified user,pstree user Run a sub-shell with all Git variables set,yadm enter Exit the sub-shell,exit Stop one or more destination(s),cupsdisable destination1 destination2 ... Cancel all jobs of the specified destination(s),cupsdisable -c destination1 destination2 ... Parse and check a file for errors,wat2wasm file.wat Write the output binary to a given file,wat2wasm file.wat -o file.wasm Display simplified representation of every byte,wat2wasm -v file.wat Execute the given commands for each of the specified items,"for variable in item1 item2 ...; do echo ""Loop is executed""; done" Iterate over a given range of numbers,"for variable in {from..to..step}; do echo ""Loop is executed""; done" Iterate over a given list of files,"for variable in path/to/file1 path/to/file2 ...; do echo ""Loop is executed""; done" Iterate over a given list of directories,"for variable in path/to/directory1/ path/to/directory2/ ...; do echo ""Loop is executed""; done" Perform a given command in every directory,"for variable in */; do (cd ""$variable"" || continue; echo ""Loop is executed"") done" Start the command-line with a custom configuration file,odpscmd --config=odps_config.ini Switch current project,use project_name; Show tables in the current project,show tables; Describe a table,desc table_name; Show table partitions,show partitions table_name; Describe a partition,desc table_name partition (partition_spec); Find expanded results in all categories from the lake and [S]ort them in the specified order,wami --show-all -S asc|desc --search-all search_string "Search GitHub to find expanded results, [S]orted in descending order",wami --show-all -S desc --github search_string Search GitHub for topics that match the search string,wami --list-topics search_string Search the lake for a tool used in pentests to query for default credentials and [S]ort the results in descending order,wami -S desc --search-all pentest credential default "Silently check and return a 0 status code when running on AC power, and a non-zero code otherwise",systemd-ac-power Additionally print `yes` or `no` to `stdout`,systemd-ac-power --verbose Scale a replica set,kubectl scale --replicas=number_of_replicas rs/replica_name Scale a resource identified by a file,kubectl scale --replicas=number_of_replicas -f path/to/file.yml Scale a deployment based on current number of replicas,kubectl scale --current-replicas=current_replicas --replicas=number_of_replicas deployment/deployment_name Assemble an IR file,llvm-as -o path/to/out.bc path/to/source.ll Assemble an IR file and include a module hash in the produced Bitcode file,llvm-as --module-hash -o path/to/out.bc path/to/source.ll Read an IR file from `stdin` and assemble it,cat path/to/source.ll | llvm-as -o path/to/out.bc Declare a string variable with the specified value,"local variable=""value""" Declare an integer variable with the specified value,"local -i variable=""value""" Declare an array variable with the specified value,local variable=(item_a item_b item_c) Declare an associative array variable with the specified value,local -A variable=([key_a]=item_a [key_b]=item_b [key_c]=item_c) Declare a readonly variable with the specified value,"local -r variable=""value""" Run a Go file,go run path/to/file.go Run a main Go package,go run path/to/package Show lint errors in a file,pylint path/to/file.py Lint a package or module (must be importable; no `.py` suffix),pylint package_or_module Lint a package from a directory path (must contain an `__init__.py` file),pylint path/to/directory Lint a file and use a configuration file (usually named `pylintrc`),pylint --rcfile path/to/pylintrc path/to/file.py Lint a file and disable a specific error code,"pylint --disable C,W,no-error,design path/to/file" Generate a PPM image as output that is `flashfactor` times brighter than the input PPM image,ppmflash flashfactor path/to/file.ppm > path/to/file.ppm Display version,ppmflash -version Quit the bar,polybar-msg cmd quit Restart the bar in-place,polybar-msg cmd restart Hide the bar (does nothing if the bar is already hidden),polybar-msg cmd hide Show the bar again (does nothing if the bar is not hidden),polybar-msg cmd show Toggle between hidden/visible,polybar-msg cmd toggle Execute a module action (the data string is optional),"polybar-msg action ""#module_name.action_name.data_string""" Only send messages to a specific Polybar instance (all instances by default),polybar-msg -p pid cmd|action payload Execute the specified commands if the condition command's exit status is zero,"if condition_command; then echo ""Condition is true""; fi" Execute the specified commands if the condition command's exit status is not zero,"if ! condition_command; then echo ""Condition is true""; fi" Execute the first specified commands if the condition command's exit status is zero otherwise execute the second specified commands,"if condition_command; then echo ""Condition is true""; else echo ""Condition is false""; fi" Check whether a [f]ile exists,"if [[ -f path/to/file ]]; then echo ""Condition is true""; fi" Check whether a [d]irectory exists,"if [[ -d path/to/directory ]]; then echo ""Condition is true""; fi" Check whether a file or directory [e]xists,"if [[ -e path/to/file_or_directory ]]; then echo ""Condition is true""; fi" Check whether a variable is defined,"if [[ -n ""$variable"" ]]; then echo ""Condition is true""; fi" List all possible conditions (`test` is an alias to `[`; both are commonly used with `if`),man [ Crack key from capture file using [w]ordlist,aircrack-ng -w path/to/wordlist.txt path/to/capture.cap Crack key from capture file using [w]ordlist and the access point's [e]ssid,aircrack-ng -w path/to/wordlist.txt -e essid path/to/capture.cap Crack key from capture file using [w]ordlist and the access point's MAC address,aircrack-ng -w path/to/wordlist.txt --bssid mac path/to/capture.cap Scan for Bluetooth devices,hcitool scan "Output the name of a device, returning its MAC address",hcitool name bdaddr Fetch information about a remote Bluetooth device,hcitool info bdaddr Check the link quality to a Bluetooth device,hcitool lq bdaddr Modify the transmit power level,hcitool tpl bdaddr 0|1 Display the link policy,hcitool lp Request authentication with a specific device,hcitool auth bdaddr Display local devices,hcitool dev Create a new bug report file in the current directory,git bugreport "Create a new bug report file in the specified directory, creating it if it does not exist",git bugreport -o|--output-directory path/to/directory Create a new bug report file with the specified filename suffix in `strftime` format,git bugreport -s|--suffix %m%d%y Check quotas on all mounted non-NFS filesystems,sudo quotacheck --all Force check even if quotas are enabled (this can cause damage or loss to quota files),sudo quotacheck --force mountpoint Check quotas on a given filesystem in debug mode,sudo quotacheck --debug mountpoint "Check quotas on a given filesystem, displaying the progress",sudo quotacheck --verbose mountpoint Check user quotas,sudo quotacheck --user user mountpoint Check group quotas,sudo quotacheck --group group mountpoint Display `stdout` and `stderr` of the specified command if and only if it produces a non-zero exit code or crashes,chronic command options ... Display `stdout` and `stderr` of the specified command if and only if it produces a non-empty `stderr`,chronic -e command options ... Enable [v]erbose mode,chronic -v command options ... List all known package types that can be installed,kpackagetool5 --list-types Install the package from a directory,kpackagetool5 --type package_type --install path/to/directory Update installed package from a directory,kpackagetool5 --type package_type --upgrade path/to/directory List installed plasmoids (--global for all users),kpackagetool5 --type Plasma/Applet --list --global Remove a plasmoid by name,"kpackagetool5 --type Plasma/Applet --remove ""name""" Spawn 4 workers to stress test CPU,stress -c 4 Spawn 2 workers to stress test IO and timeout after 5 seconds,stress -i 2 -t 5 Spawn 2 workers to stress test memory (each worker allocates 256M bytes),stress -m 2 --vm-bytes 256M Spawn 2 workers spinning on write()/unlink() (each worker writes 1G bytes),stress -d 2 --hdd-bytes 1GB View all network traffic (use the arrow keys to switch interfaces),nload View network traffic on specific interfaces (use the arrow keys to switch interfaces),nload devices interface_one interface_two Create a hard link from a new file to an existing file,link path/to/existing_file path/to/new_file Clone an SVN repository,git svn clone https://example.com/subversion_repo local_dir Clone an SVN repository starting at a given revision number,git svn clone -r|--revision 1234:HEAD https://svn.example.net/subversion/repo local_dir Update local clone from the remote SVN repository,git svn rebase Fetch updates from the remote SVN repository without changing the Git HEAD,git svn fetch Commit back to the SVN repository,git svn commit Launch xeyes on the local machine's default display,xeyes "Launch xeyes on a remote machine's display 0, screen 0",xeyes -display remote_host:0.0 Display `gcloud` environment information,gcloud info Check network connectivity and hidden properties,gcloud info --run-diagnostics Print the contents of the most recent log file,gcloud info --show-log "Count observed frequencies of words in a FASTA file, providing parameter values with interactive prompt",compseq path/to/file.fasta "Count observed frequencies of amino acid pairs from a FASTA file, save output to a text file",compseq path/to/input_protein.fasta -word 2 path/to/output_file.comp "Count observed frequencies of hexanucleotides from a FASTA file, save output to a text file and ignore zero counts",compseq path/to/input_dna.fasta -word 6 path/to/output_file.comp -nozero Count observed frequencies of codons in a particular reading frame; ignoring any overlapping counts (i.e. move window across by word-length 3),compseq -sequence path/to/input_rna.fasta -word 3 path/to/output_file.comp -nozero -frame 1 Count observed frequencies of codons frame-shifted by 3 positions; ignoring any overlapping counts (should report all codons except the first one),compseq -sequence path/to/input_rna.fasta -word 3 path/to/output_file.comp -nozero -frame 3 Count amino acid triplets in a FASTA file and compare to a previous run of `compseq` to calculate expected and normalised frequency values,compseq -sequence path/to/human_proteome.fasta -word 3 path/to/output_file1.comp -nozero -infile path/to/output_file2.comp "Approximate the above command without a previously prepared file, by calculating expected frequencies using the single base/residue frequencies in the supplied input sequence(s)",compseq -sequence path/to/human_proteome.fasta -word 3 path/to/output_file.comp -nozero -calcfreq Display help (use `-help -verbose` for more information on associated and general qualifiers),compseq -help List non-free and contrib packages (and their description),check-dfsg-status Only output the package names,check-dfsg-status --sparse Convert an SBIG ST-4 file to a PGM file,st4topgm path/to/input_file.st4 > path/to/output.pgm Start an interactive shell session,dash Execute specific [c]ommands,"dash -c ""echo 'dash is executed'""" Execute a specific script,dash path/to/script.sh Check a specific script for syntax errors,dash -n path/to/script.sh Execute a specific script while printing each command before executing it,dash -x path/to/script.sh Execute a specific script and stop at the first [e]rror,dash -e path/to/script.sh Execute specific commands from `stdin`,"echo ""echo 'dash is executed'"" | dash" Create a Debian stable release system inside the `debian-root` directory,sudo debootstrap stable path/to/debian-root/ http://deb.debian.org/debian Create a minimal system including only required packages,sudo debootstrap --variant=minbase stable path/to/debian-root/ Create an Ubuntu 20.04 system inside the `focal-root` directory with a local mirror,sudo debootstrap focal path/to/focal-root/ file:///path/to/mirror/ Switch to a bootstrapped system,sudo chroot path/to/root List available releases,ls /usr/share/debootstrap/scripts/ "Print current brightness and maximal brightness, shortened and separated by a slash",ybacklight Sc/Sm Specify the brightness,ybacklight s420 Increase the brightness by 42 big steps (4200 by default),ybacklight Si42 Decrease the brightness by 300,ybacklight d300 Add a safe target,safe target vault_addr target_name "Authenticate the CLI client against the Vault server, using an authentication token",safe auth authentication_token Print the environment variables describing the current target,safe env Display a tree hierarchy of all reachable keys for a given path,safe tree path Move a secret from one path to another,safe move old/path/to/secret new/path/to/secret Generate a new 2048-bit SSH key-pair and store it,safe ssh 2048 path/to/secret Set non-sensitive keys for a secret,safe set path/to/secret key=value Set auto-generated password in a secret,safe gen path/to/secret key Save RAW data from a frequency (specified in Hz) to a file,rtl_sdr -f 100000000 path/to/file Pipe data to another program,rtl_sdr -f 100000000 - | aplay Read a specified number of samples,rtl_sdr -f 100000000 -n 20 - Specify the sample rate in Hz (ranges 225001-300000 and 900001-3200000),rtl_sdr -f 100000000 -s 2400000 - Specify the device by its index,rtl_sdr -f 100000000 -d 0 - Specify the gain,rtl_sdr -f 100000000 -g 20 - Specify the output block size,rtl_sdr -f 100000000 -b 9999999 - Use synchronous output,rtl_sdr -f 100000000 -S - Create a new project using the default template,spike new project_name "Compile your project, watch for changes, and auto-reload the browser",spike watch "Compile your project once to the ""public"" directory",spike compile Remove the output directory,spike clean Lookup the IP(s) associated with a hostname (A records),kdig example.com Specify a specific DNS server to query (e.g. Google DNS),kdig example.com @8.8.8.8 Query a specific DNS record type associated with a given domain name,kdig example.com A|AAAA|NS|SOA|DNSKEY|ANY Lookup the IP(s) associated with a hostname (A records) using DNS over TLS (DoT),kdig -d @8.8.8.8 +tls-ca +tls-host=dns.google example.com Lookup the IP(s) associated with a hostname (A records) using DNS over HTTPS (DoH),kdig -d @1.1.1.1 +https +tls-hostname=1dot1dot1dot1.cloudflare-dns.com example.com See action can be used to view any file (usually image) on default mailcap explorer,see filename Using with `run-mailcap`,run-mailcap --action=view filename Show all data,ntpctl -s a|all Show information about each peer,ntpctl -s p|peers "Show the status of peers and sensors, and whether the system clock is synced",ntpctl -s s|status Show information about each sensor,ntpctl -s S|Sensors Compare files (lists changes to turn `old_file` into `new_file`),diff old_file new_file "Compare files, ignoring white spaces",diff -w|--ignore-all-space old_file new_file "Compare files, showing the differences side by side",diff -y|--side-by-side old_file new_file "Compare files, showing the differences in unified format (as used by `git diff`)",diff -u|--unified old_file new_file Compare directories recursively (shows names for differing files/directories as well as changes made to files),diff -r|--recursive old_directory new_directory "Compare directories, only showing the names of files that differ",diff -r|--recursive -q|--brief old_directory new_directory "Create a patch file for Git from the differences of two text files, treating nonexistent files as empty",diff -a|--text -u|--unified -N|--new-file old_file new_file > diff.patch "Compare files, showing output in color and try hard to find smaller set of changes",diff -d|--minimal --color=always old_file new_file Ask a generic question,"mods ""write me a poem about platypuses""" Open settings in your `$EDITOR`,mods --settings "Ask for comments on your code, in markdown format","mods --format ""what are your thoughts on improving this code?"" < path/to/file" "Ask for help with your documentation, in markdown format","mods --format ""write a new section to this readme for a feature that sends you a free rabbit if you hit r"" < README.md" "Organize your videos, in markdown format","ls path/to/videos | mods --format ""organize these by decade and summarize""" "Read through raw HTML and summarize the contents, in markdown format","curl ""https://api.open-meteo.com/v1/forecast?latitude=29.00&longitude=-90.00¤t_weather=true&hourly=temperature_2m,relativehumidity_2m,windspeed_10m"" | mods --format ""summarize this weather data for a human""" Display help,mods --help Create a temporary inbox,tmpmail --generate List messages and their numeric ID,tmpmail Display the most recent received email,tmpmail --recent Open a specific message,tmpmail email_id View email as raw text without HTML tags,tmpmail --text Open email with a specific browser (default is w3m),tmpmail --browser browser Protect branches of a GitHub repository (create branch protection rules),protector branches_regex -repos organization/repository Use the dry run to see what would be protected (can also be used for freeing),protector -dry-run branches_regex -repos organization/repository Free branches of a GitHub repository (delete branch protection rules),protector -free branches_regex -repos organization/repository Stop embedded nbd server,qm nbdstop VM_ID Start an interactive shell session,pwsh Start an interactive shell session without loading startup configs,pwsh -NoProfile Execute specific commands,"pwsh -Command ""echo 'powershell is executed'""" Execute a specific script,pwsh -File path/to/script.ps1 Start a session with a specific version of PowerShell,pwsh -Version version Prevent a shell from exit after running startup commands,pwsh -NoExit Describe the format of data sent to PowerShell,pwsh -InputFormat Text|XML Determine how an output from PowerShell is formatted,pwsh -OutputFormat Text|XML Move a specific file to the trash,trash path/to/file Move specific files to the trash,trash path/to/file1 path/to/file2 ... List items in the trash,trash list Restore a specific file from the trash,trash restore file Remove a specific file from the trash,trash empty file Restore all files from the trash,trash restore --all Remove all files from the trash,trash empty --all Display detailed information about the connected device,ideviceinfo Show information about a specific device by UDID,ideviceinfo --udid device_udid Initialize ProtonVPN profile,protonvpn init Connect to ProtonVPN interactively,protonvpn c|connect Display connection status,protonvpn s|status Disconnect from ProtonVPN,protonvpn d|disconnect Reconnect or connect to the last server used,protonvpn r|reconnect Refresh OpenVPN configuration and server data,protonvpn refresh Display help for a subcommand,protonvpn subcommand --help Update the list of available packages and versions,deb-get update Search for a given package,deb-get search package Show information about a package,deb-get show package "Install a package, or update it to the latest available version",deb-get install package Remove a package (using `purge` instead also removes its configuration files),deb-get remove package Upgrade all installed packages to their newest available versions,deb-get upgrade List all available packages,deb-get list Recursively find files matching a specific pattern in the current directory,"fd ""string|regex""" Find files that begin with `foo`,"fd ""^foo""" Find files with a specific extension,fd --extension txt Find files in a specific directory,"fd ""string|regex"" path/to/directory" Include ignored and hidden files in the search,"fd --hidden --no-ignore ""string|regex""" Execute a command on each search result returned,"fd ""string|regex"" --exec command" Start a new VPN session,openvpn3 session-start --config path/to/config.conf List established sessions,openvpn3 sessions-list Disconnect the currently established session started with given configuration,openvpn3 session-manage --config path/to/config.conf --disconnect Import VPN configuration,openvpn3 config-import --config path/to/config.conf List imported configurations,openvpn3 configs-list Start the HTTP server serving the current directory with verbose output (listen on all interfaces and port 8000 by default),simplehttpserver -verbose Start the HTTP server with basic authentication serving a specific path over port 80 on all interfaces,sudo simplehttpserver -basic-auth username:password -path /var/www/html -listen 0.0.0.0:80 "Start the HTTP server, enabling HTTPS using a self-signed certificate with custom SAN on all interfaces",sudo simplehttpserver -https -domain *.selfsigned.com -listen 0.0.0.0:443 Start the HTTP server with custom response headers and upload capability,simplehttpserver -upload -header 'X-Powered-By: Go' -header 'Server: SimpleHTTPServer' Start the HTTP server with customizable rules in YAML (see documentation for DSL),simplehttpserver -rules rules.yaml Print all configurations,az config get Print configurations for a specific section,az config get section_name Set a configuration,az config set configuration_name=value Unset a configuration,az config unset configuration_name Start a server from a specific directory,browser-sync start --server path/to/directory --files path/to/directory "Start a server from local directory, watching all CSS files in a directory",browser-sync start --server --files 'path/to/directory/*.css' Create configuration file,browser-sync init Start Browsersync from configuration file,browser-sync start --config config_file Open images,nsxiv path/to/file1 path/to/file2 ... Open images from directories in image mode,nsxiv path/to/directory1 path/to/directory2 ... Search directories recursively for images to view,nsxiv -r path/to/directory1 path/to/directory2 ... Quit nsxiv,q Switch to thumbnail mode or open selected image in image mode, Count images forward in image mode,n Count images backward in image mode,p "Record new transactions interactively, saving to the default journal file",hledger add "Import new transactions from `bank.csv`, using `bank.csv.rules` to convert",hledger import path/to/bank.csv "Print all transactions, reading from multiple specified journal files",hledger print --file path/to/prices-2024.journal --file path/to/prices-2023.journal "Show all accounts, as a hierarchy, and their types",hledger accounts --tree --types "Show asset and liability account balances, including zeros, hierarchically",hledger balancesheet --empty --tree --no-elide "Show monthly incomes/expenses/totals, largest first, summarised to 2 levels",hledger incomestatement --monthly --row-total --average --sort --depth 2 Show the `assets:bank:checking` account's transactions and running balance,hledger aregister assets:bank:checking Show the amount spent on food from the `assets:cash` account,hledger print assets:cash | hledger -f- -I aregister expenses:food "Turn on the ""Locate"" LED for specified device(s)","sudo ledctl locate=/dev/sda,/dev/sdb,..." "Turn off the ""Locate"" LED for specified device(s)","sudo ledctl locate_off=/dev/sda,/dev/sdb,..." "Turn off the ""Status"" LED and ""Failure"" LED for specified device(s)","sudo ledctl off=/dev/sda,/dev/sdb,..." "Turn off the ""Status"" LED, ""Failure"" LED and ""Locate"" LED for specified device(s)","sudo ledctl normal=/dev/sda,/dev/sdb,..." Print the EXIF metadata for a given file,exiftool path/to/file Remove all EXIF metadata from the given files,exiftool -All= path/to/file1 path/to/file2 ... Remove GPS EXIF metadata from given image files,"exiftool ""-gps*="" path/to/image1 path/to/image2 ..." "Remove all EXIF metadata from the given image files, then re-add metadata for color and orientation",exiftool -All= -tagsfromfile @ -colorspacetags -orientation path/to/image1 path/to/image2 ... Move the date at which all photos in a directory were taken 1 hour forward,"exiftool ""-AllDates+=0:0:0 1:0:0"" path/to/directory" Move the date at which all JPEG photos in the current directory were taken 1 day and 2 hours backward,"exiftool ""-AllDates-=0:0:1 2:0:0"" -ext jpg" "Only change the `DateTimeOriginal` field subtracting 1.5 hours, without keeping backups",exiftool -DateTimeOriginal-=1.5 -overwrite_original Recursively rename all JPEG photos in a directory based on the `DateTimeOriginal` field,exiftool '-filename path/to/output.pnm Describe the contents of the specified GEM image,gemtopnm -d path/to/file.img Display version,gemtopnm -version Copy unstaged files to a specific remote,git scp remote_name Copy staged and unstaged files to a remote,git scp remote_name HEAD Copy files that has been changed in the last commit and any staged or unstaged files to a remote,git scp remote_name HEAD~1 Copy specific files to a remote,git scp remote_name path/to/file1 path/to/file2 ... Copy a specific directory to a remote,git scp remote_name path/to/directory Print the content of the first member in a Zip archive,funzip path/to/archive.zip Print the content in a gzip archive,funzip path/to/archive.gz Decrypt a Zip or gzip archive and print the content,funzip -password password path/to/archive Rollback the state of a specific VM to a specified snapshot,qm rollback vm_id snap_name "Compress a file, creating a compressed version next to the file",brotli path/to/file "[d]ecompress a file, creating an uncompressed version next to the file",brotli -d path/to/file.br Compress a file specifying the [o]utput filename,brotli path/to/file -o path/to/compressed_output_file.br [d]ecompress a Brotli file specifying the [o]utput filename,brotli -d path/to/compressed_file.br -o path/to/output_file "Specify the compression quality (1=fastest (worst), 11=slowest (best))",brotli -q 11 path/to/file -o path/to/compressed_output_file.br Extract [m]essage from file,stegsnow path/to/file.txt Extract [C]ompressed and [p]assword protected [m]essage from file,stegsnow -C -p password path/to/file.txt Determine approximate [S]torage capacity with line [l]ength less than 72 for file,stegsnow -S -l 72 path/to/file.txt Conceal [m]essage in text from file and save to result,stegsnow -m 'message' path/to/file.txt path/to/result.txt Conceal message [f]ile content [C]ompressed in text from file and save to result,stegsnow -C -f 'path/to/message.txt' path/to/file.txt path/to/result.txt Conceal [m]essage [C]ompressed and [p]assword protected in text from file and save to result,stegsnow -C -p password -m 'message' path/to/file.txt path/to/result.txt Configure AWS CLI interactively (creates a new configuration or updates the default),aws configure Configure a named profile for AWS CLI interactively (creates a new profile or updates an existing one),aws configure --profile profile_name Display the value from a specific configuration variable,aws configure get name Display the value for a configuration variable in a specific profile,aws configure get name --profile profile_name Set the value of a specific configuration variable,aws configure set name value Set the value of a configuration variable in a specific profile,aws configure set name value --profile profile_name List the configuration entries,aws configure list List the configuration entries for a specific profile,aws configure list --profile profile_name Check for the Streamlit installation,streamlit hello Run your Streamlit application,streamlit run project_name Display help,streamlit --help Display version,streamlit --version Play the specified file or URL,mplayer path/to/file|url Play multiple files,mplayer path/to/file1 path/to/file2 ... Play a specific file repeatedly,mplayer -loop 0 path/to/file Pause playback, Quit mplayer, Seek backward or forward 10 seconds,Left|Right "Specify the storage directory (default: `/data/db` on Linux and macOS, `C:\data\db` on Windows)",mongod --dbpath path/to/directory Specify a configuration file,mongod --config path/to/file Specify the port to listen on (default: 27017),mongod --port port "Specify the database profiling level. 0 is off, 1 is only slow operations, 2 is all (default: 0)",mongod --profile 0|1|2 "Get ephemerides for Paris, France",kosmorro --latitude=48.7996 --longitude=2.3511 "Get ephemerides for Paris, France, in the UTC+2 timezone",kosmorro --latitude=48.7996 --longitude=2.3511 --timezone=2 "Get ephemerides for Paris, France, on June 9th, 2020",kosmorro --latitude=48.7996 --longitude=2.3511 --date=2020-06-09 Generate a PDF (Note: TeXLive must be installed),kosmorro --format=pdf --output=path/to/file.pdf Open a specific directory,phpstorm path/to/directory Open a file,phpstorm path/to/file Open a file at a specific line,phpstorm --line line_number path/to/file View the differences between two files,phpstorm diff path/to/left_file path/to/right_file Search for a specific username on social networks saving the results to a file,sherlock username --output path/to/file Search for specific usernames on social networks saving the results into a directory,sherlock username1 username2 ... --folderoutput path/to/directory Search for a specific username on social networks using the Tor network,sherlock --tor username Make requests over Tor with a new Tor circuit after each request,sherlock --unique-tor username Search for a specific username on social networks using a proxy,sherlock username --proxy proxy_url Search for a specific username on social networks and open results in the default web browser,sherlock username --browse Display help,sherlock --help Produce a mask of areas of a certain color in the specified PPM image,"ppmcolormask -color red,blue path/to/input.ppm > path/to/output.pbm" "Remove the variable `foo`, or if the variable doesn't exist, remove the function `foo`",unset foo Remove the variables foo and bar,unset -v foo bar Remove the function my_func,unset -f my_func Compress a file into a new file with the `.zst` suffix,zstd path/to/file Decompress a file,zstd --decompress path/to/file.zst Decompress to `stdout`,zstd --decompress --stdout path/to/file.zst "Compress a file specifying the compression level, where 1=fastest, 19=slowest and 3=default",zstd -level path/to/file Unlock higher compression levels (up to 22) using more memory (both for compression and decompression),zstd --ultra -level path/to/file View documentation for the original command,tldr npm run Show the name of the currently active branch,hub branch Create a new branch,hub branch branch_name Upgrade Azure CLI,az upgrade Upgrade Azure CLI and Extensions,az upgrade --all Upgrade Azure CLI and Extensions without prompting for confirmation,az version --all --yes Create a btrfs filesystem on a single device,sudo mkfs.btrfs --metadata single --data single /dev/sda Create a btrfs filesystem on multiple devices with raid1,sudo mkfs.btrfs --metadata raid1 --data raid1 /dev/sda /dev/sdb /dev/sdN Set a label for the filesystem,"sudo mkfs.btrfs --label ""label"" /dev/sda [/dev/sdN]" Print messages about the patched files,git apply --verbose path/to/file Apply and add the patched files to the index,git apply --index path/to/file Apply a remote patch file,curl -L https://example.com/file.patch | git apply Output diffstat for the input and apply the patch,git apply --stat --apply path/to/file Apply the patch in reverse,git apply --reverse path/to/file Store the patch result in the index without modifying the working tree,git apply --cache path/to/file Scan a webserver using the default wordlist,dirb https://example.org Scan a webserver using a custom wordlist,dirb https://example.org path/to/wordlist.txt Scan a webserver non-recursively,dirb https://example.org -r Scan a webserver using a specified user-agent and cookie for HTTP-requests,dirb https://example.org -a user_agent_string -c cookie_string E[x]tract all members from an archive,ar x path/to/file.a Lis[t] contents in a specific archive,ar t path/to/file.ar [r]eplace or add specific files to an archive,ar r path/to/file.deb path/to/debian-binary path/to/control.tar.gz path/to/data.tar.xz ... In[s]ert an object file index (equivalent to using `ranlib`),ar s path/to/file.a Create an archive with specific files and an accompanying object file index,ar rs path/to/file.a path/to/file1.o path/to/file2.o ... Print the status of a specific PID,qm guest exec-status vm_id pid Convert an existing Debian package to gbp,gbp import-dsc path/to/package.dsc Build the package in the current directory using the default builder (`debuild`),gbp buildpackage -jauto -us -uc Build a package in a `pbuilder` environment for Debian Bullseye,DIST=bullseye ARCH=amd64 gbp buildpackage -jauto -us -uc --git-builder=git-pbuilder Specify a package to be a source-only upload in the `.changes` file (see ),gbp buildpackage -jauto -us -uc --changes-options=-S Import a new upstream release,gbp import-orig --pristine-tar path/to/package.tar.gz Print the `iptables` configuration,sudo iptables-save Print the `iptables` configuration of a specific [t]able,sudo iptables-save --table table Save the `iptables` configuration to a [f]ile,sudo iptables-save --file path/to/file List all Linodes,linode-cli linodes list View documentation for managing Linode accounts,tldr linode-cli account View documentation for managing Linodes,tldr linode-cli linodes View documentation for managing Linode Kubernetes Engine (LKE) clusters,tldr linode-cli lke View documentation for managing NodeBalancers,tldr linode-cli nodebalancers View documentation for managing Object Storage,tldr linode-cli object-storage View documentation for managing domains and DNS configuration,tldr linode-cli domains View documentation for managing Linode Volumes,tldr linode-cli volumes Display a live stream for the statistics of all running containers,docker stats Display a live stream of statistics for one or more containers,docker stats container1 container2 ... Change the columns format to display container's CPU usage percentage,"docker stats --format "".Name:\t.CPUPerc""" Display statistics for all containers (both running and stopped),docker stats --all Disable streaming stats and only pull the current stats,docker stats --no-stream View documentation for the original command,tldr iptables-restore Use a command's output as input of the clip[b]oard (equivalent to `Ctrl + C`),echo 123 | xsel -ib Use the contents of a file as input of the clipboard,cat path/to/file | xsel -ib Output the clipboard's contents into the terminal (equivalent to `Ctrl + V`),xsel -ob Output the clipboard's contents into a file,xsel -ob > path/to/file Clear the clipboard,xsel -cb Output the X11 primary selection's contents into the terminal (equivalent to a mouse middle-click),xsel -op Create random passwords (default password length is 8),apg "Create a password with at least 1 symbol (S), 1 number (N), 1 uppercase (C), 1 lowercase (L)",apg -M SNCL Create a password with 16 characters,apg -m 16 Create a password with maximum length of 16,apg -x 16 Create a password that doesn't appear in a dictionary (the dictionary file has to be provided),apg -r path/to/dictionary_file "Query a CSV file by specifying the delimiter as ','","q -d',' ""SELECT * from path/to/file""" Query a TSV file,"q -t ""SELECT * from path/to/file""" Query file with header row,"q -ddelimiter -H ""SELECT * from path/to/file""" Read data from `stdin`; '-' in the query represents the data from `stdin`,"output | q ""select * from -""" "Join two files (aliased as `f1` and `f2` in the example) on column `c1`, a common column","q ""SELECT * FROM path/to/file f1 JOIN path/to/other_file f2 ON (f1.c1 = f2.c1)""" Format output using an output delimiter with an output header line (Note: command will output column names based on the input file header or the column aliases overridden in the query),"q -Ddelimiter -O ""SELECT column as alias from path/to/file""" Create a wordlist file from the given URL up to 2 links depth,cewl --depth 2 --write path/to/wordlist.txt url Output an alphanumeric wordlist from the given URL with words of minimum 5 characters,cewl --with-numbers --min_word_length 5 url Output a wordlist from the given URL in debug mode including email addresses,cewl --debug --email url Output a wordlist from the given URL using HTTP Basic or Digest authentication,cewl --auth_type basic|digest --auth_user username --auth_pass password url Output a wordlist from the given URL through a proxy,cewl --proxy_host host --proxy_port port url Execute command on the host system from inside the Distrobox container,"distrobox-host-exec ""command""" Execute the `ls` command on the host system from inside the container,distrobox-host-exec ls List all subscriptions for the logged in account,az account list Set a `subscription` to be the currently active subscription,az account set --subscription subscription_id List supported regions for the currently active subscription,az account list-locations Print an access token to be used with `MS Graph API`,az account get-access-token --resource-type ms-graph Print details of the currently active subscription in a specific format,az account show --output json|tsv|table|yaml "Launch the vim tutor using the given language (en, fr, de, ...)",vimtutor language Exit the tutor, :q Convert numeric bytes value to a human-readable string,pretty-bytes 1337 Convert numeric bytes value from `stdin` to a human-readable string,echo 1337 | pretty-bytes Display help,pretty-bytes --help Show information about all active IPC facilities,lsipc "Show information about active shared [m]emory segments, message [q]ueues or [s]empahore sets",lsipc --shmems|--queues|--semaphores Show full details on the resource with a specific [i]D,lsipc --shmems|--queues|--semaphores --id resource_id Print the given [o]utput columns (see all supported columns with `--help`),"lsipc --output KEY,ID,PERMS,SEND,STATUS,NSEMS,RESOURCE,..." "Use [r]aw, [J]SON, [l]ist or [e]xport (key=""value"") format",lsipc --raw|--json|--list|--export Don't truncate the output,lsipc --notruncate Fix code even if it already has compiler errors,cargo fix --broken-code Fix code even if the working directory has changes,cargo fix --allow-dirty Migrate a package to the next Rust edition,cargo fix --edition Fix the package’s library,cargo fix --lib Fix the specified integration test,cargo fix --test name Fix all members in the workspace,cargo fix --workspace Show general image information about the OS image,systemd-dissect path/to/image.raw Mount an OS image,systemd-dissect --mount path/to/image.raw /mnt/image Unmount an OS image,systemd-dissect --umount /mnt/image List files in an image,systemd-dissect --list path/to/image.raw Attach an OS image to an automatically allocated loopback block device and print its path,systemd-dissect --attach path/to/image.raw Detach an OS image from a loopback block device,systemd-dissect --detach path/to/device Show commits (and their messages) with equivalent commits upstream,git cherry -v|--verbose Specify a different upstream and topic branch,git cherry origin topic Limit commits to those within a given limit,git cherry origin topic base Run Docker daemon,dockerd Run Docker daemon and configure it to listen to specific sockets (UNIX and TCP),dockerd --host unix://path/to/tmp.sock --host tcp://ip Run with specific daemon PID file,dockerd --pidfile path/to/pid_file Run in debug mode,dockerd --debug Run and set a specific log level,dockerd --log-level debug|info|warn|error|fatal "Extract pages 1-3, 5 and 6-10 from a PDF file and save them as another one","qpdf --empty --pages path/to/input.pdf 1-3,5,6-10 -- path/to/output.pdf" Merge (concatenate) all the pages of multiple PDF files and save the result as a new PDF,qpdf --empty --pages path/to/file1.pdf file2.pdf ... -- path/to/output.pdf Merge (concatenate) given pages from a list of PDF files and save the result as a new PDF,"qpdf --empty --pages path/to/file1.pdf 1,6-8 path/to/file2.pdf 3,4,5 -- path/to/output.pdf" Write each group of `n` pages to a separate output file with a given filename pattern,qpdf --split-pages=n path/to/input.pdf path/to/out_%d.pdf Rotate certain pages of a PDF with a given angle,"qpdf --rotate=90:2,4,6 --rotate=180:7-8 path/to/input.pdf path/to/output.pdf" Remove the password from a password-protected file,qpdf --password=password --decrypt path/to/input.pdf path/to/output.pdf Convert a PBM image to an Atari Degas PI3 image,pbmtopi3 path/to/image.pbm > path/to/atari_image.pi3 Add or remove a URL from a torrent's announce list,transmission-edit --add|delete http://example.com path/to/file.torrent Update a tracker's passcode in a torrent file,transmission-edit --replace old-passcode new-passcode path/to/file.torrent Start the PipeWire daemon,pipewire Use a different configuration file,pipewire --config path/to/file.conf "Set the verbosity level (error, warn, info, debug or trace)",pipewire -v|vv|...|vvvvv Display help,pipewire --help Pin the `nixpkgs` revision to the current version of the upstream repository,nix registry pin nixpkgs "Pin an entry to the latest version of the branch, or a particular reivision of a GitHub repository",nix registry pin entry github:owner/repo/branch_or_revision "Add a new entry that always points to the latest version of a GitHub repository, updating automatically",nix registry add entry github:owner/repo Remove a registry entry,nix registry remove entry See documentation about what Nix flake registries are,nix registry --help List all feeds,r2e list Convert RSS entries to email,r2e run Add a feed,r2e add feed_address Add a feed with a specific email address,r2e add feed_address new_email@example.com Delete a specific feed,r2e delete number_of_feed_in_list Display help,r2e -h Filter all data records that had specific destinations and write them to a separate file,sc_wartsfilter -i path/to/input.warts -o path/to/output.warts -a 192.0.2.5 -a 192.0.2.6 Filter all records that had certain destinations in a prefix and write them to a separate file,sc_wartsfilter -i path/to/input.warts -o path/to/output.warts -a 2001:db8::/32 Filter all records that using a specific action and output them as JSON,sc_wartsfilter -i path/to/input.warts -t ping | sc_warts2json View documentation for the current package,go doc Show package documentation and exported symbols,go doc encoding/json Show also documentation of symbols,go doc -all encoding/json Show also sources,go doc -all -src encoding/json Show a specific symbol,go doc -all -src encoding/json.Number Show why the currently running NixOS system requires a certain store path,nix why-depends /run/current-system /nix/store/... Show why a package from nixpkgs requires another package as a _build-time_ dependency,nix why-depends --derivation nixpkgs#dependent nixpkgs#dependency Upload an artifact to Rekor,rekor-cli upload --artifact path/to/file.ext --signature path/to/file.ext.sig --pki-format=x509 --public-key=path/to/key.pub Get information regarding entries in the Transparency Log,rekor-cli get --uuid=0e81b4d9299e2609e45b5c453a4c0e7820ac74e02c4935a8b830d104632fd2d1 Search the Rekor index to find entries by Artifact,rekor-cli search --artifact path/to/file.ext Search the Rekor index to find entries by a specific hash,rekor-cli search --sha 6b86b273ff34fce19d6b804eff5a3f5747ada4eaa22f1d49c01e52ddb7875b4b Open alpine normally,alpine Open alpine directly to the message composition screen to send an email to a given email address,alpine email@example.net Quit alpine,q + y Print the current time in a timezone,zdump timezone Display help,zdump --help Send input to a running command,"pueue send task_id ""input""" "Send confirmation to a task expecting y/N (e.g. APT, cp)",pueue send task_id y Create a backup of the device in the specified directory,idevicebackup2 backup path/to/directory Restore a backup from the specified directory,idevicebackup2 restore path/to/directory Enable encryption for backups,idevicebackup2 encryption on password List the files in the last completed backup,idevicebackup2 list Check out a working copy from a repository,svn co url/to/repository Bring changes from the repository into the working copy,svn up "Put files and directories under version control, scheduling them for addition to repository. They will be added in next commit",svn add PATH Send changes from your working copy to the repository,svn ci -m commit_log_message [PATH] "Display changes from the last 10 revisions, showing modified files for each revision",svn log -vl 10 Display help,svn help Launch a VNC Server on next available display,vncserver Launch a VNC Server with specific screen geometry,vncserver --geometry widthxheight Kill an instance of VNC Server running on a specific display,vncserver --kill :display_number Create a new save file,path/to/factorio --create path/to/save_file.zip Start a Factorio server,path/to/factorio --start-server path/to/save_file.zip Revert the most recent commit,git revert HEAD Revert the 5th last commit,git revert HEAD~4 Revert a specific commit,git revert 0c01a9 Revert multiple commits,git revert branch_name~5..branch_name~2 "Don't create new commits, just change the working tree",git revert -n|--no-commit 0c01a9..9a1743 Check for all updates,rustup check List IAM grantable roles for a resource,gcloud iam list-grantable-roles resource Create a custom role for a organization or project,gcloud iam roles create role_name --organization|project organization|project_id --file path/to/role.yaml Create a service account for a project,gcloud iam service-accounts create name Add an IAM policy binding to a service account,gcloud iam service-accounts add-iam-policy-binding service_account_email --member member --role role Replace existing IAM policy binding,gcloud iam service-accounts set-iam-policy service_account_email policy_file List a service account's keys,gcloud iam service-accounts keys list --iam-account service_account_email Change the line endings of a file,dos2unix path/to/file Create a copy with Unix-style line endings,dos2unix -n|--newfile path/to/file path/to/new_file Display file information,dos2unix -i|--info path/to/file Keep/add/remove Byte Order Mark,dos2unix --keep-bom|add-bom|remove-bom path/to/file Make WirePlumber start with the user session immediately (for systemd systems),systemctl --user --now enable wireplumber "Run WirePlumber, after `pipewire` is started (for non-systemd systems)",wireplumber Specify a different context configuration file,wireplumber --config-file path/to/file Display help,wireplumber --help Display version,wireplumber --version Execute a chat script directly from the command line,chat 'expect_send_pairs' Execute a chat script from a file,chat -f 'path/to/chat_script' Set a custom timeout (in seconds) for expecting a response,chat -t timeout_in_seconds 'expect_send_pairs' Enable verbose output to log the conversation to `syslog`,chat -v 'expect_send_pairs' Use a report file to log specific strings received during the conversation,chat -r path/to/report_file 'expect_send_pairs' "Dial a phone number using a variable, substituting `\T` in the script","chat -T 'phone_number' '""ATDT\\T CONNECT""'" Include an abort condition if a specific string is received,"chat 'ABORT ""error_string"" expect_send_pairs'" Display the directory stack with a space between each entry,dirs Display the directory stack with one entry per line,dirs -p "Display only the nth entry in the directory stack, starting at 0",dirs +N Clear the directory stack,dirs -c Copy a Netpbm file from `stdin` to `stdout` if and only if it valid; fail otherwise,command | pamvalidate > path/to/output.ext Modify remote index,crane index filter "Specify the platform(s) to keep from base in the form os/arch{{/variant}}{{:osversion}}{{,}}",crane index filter --platform platform1 platform2 ... Tag to apply to resulting image,crane index filter -t|--tags tag_name Display help,crane index filter -h|--help Visit a website,lynx example.com Apply restrictions for anonymous account,lynx -anonymous example.com "Turn on mouse support, if available",lynx -use_mouse example.com "Force color mode on, if available",lynx -color example.com "Open a link, using a specific file to read and write cookies",lynx -cookie_file=path/to/file example.com Navigate forwards and backwards through the links on a page,Up arrow key|Down arrow key Go back to the previously displayed page,Left arrow key|u Exit,q + y Add kernel and initramfs images to bootloader partition,sudo kernel-install add kernel-version kernel-image path/to/initrd-file ... Remove kernel from the bootloader partition,sudo kernel-install remove kernel-version Show various paths and parameters that have been configured or auto-detected,sudo kernel-install inspect kernel-image Launch the presentation application,calligrastage Open a specific presentation,calligrastage path/to/presentation Display help or version,calligrastage --help|version Display a file with syntax highlighting,rich path/to/file.py "Add line numbers, and indentation guides",rich path/to/file.py --line-numbers --guides Apply a theme,rich path/to/file.py --theme monokai Display a file in an interactive pager,rich path/to/file.py --pager Display contents from a URL,rich https://raw.githubusercontent.com/Textualize/rich-cli/main/README.md --markdown --pager Export a file as HTML,rich path/to/file.md --export-html path/to/file.html "Display text with formatting tags, custom alignment, and line width","rich --print ""Hello [green on black]Stylized[/green on black] [bold]World[/bold]"" --left|center|right --width 10" Fetch a specific version of a jar,cs fetch group_id:artifact_id:artifact_version Fetch a package and evaluate the classpath corresponding to the selected package in an env var,"CP=""$(cs fetch --classpath org.scalameta::scalafmt-cli:latest.release)""" Fetch a source of a specific jar,cs fetch --sources group_id:artifact_id:artifact_version Fetch the javadoc jars,cs fetch --javadoc group_id:artifact_id:artifact_version Fetch dependency with javadoc jars and source jars,cs fetch --default=true --sources --javadoc group_id:artifact_id:artifact_version Fetch jars coming from dependency files,cs fetch --dependency-file path/to/file1 --dependency-file path/to/file2 ... Print all branches which are merged into the current head,git show-merged-branches "Call `echo` with the ""foo"" argument","eval ""echo foo""" Set a variable in the current shell,"eval ""foo=bar""" Grow the root partition (/) to all available disk space,systemd-repart View changes without applying,systemd-repart --dry-run=yes Grow root partition size to 10 gigabytes,systemd-repart --size=10G --root / Browse through all available cheatsheets,navi Browse the cheatsheet for `navi` itself,navi fn welcome Print a command from the cheatsheet without executing it,navi --print "Output shell widget source code (It automatically detects your shell if possible, but can also be specified manually)",navi widget shell Autoselect and execute the snippet that best matches a query,navi --query 'query' --best-match Start an interactive setup,mysql_secure_installation Use specific host and port,mysql_secure_installation --host=host --port=port Display help,mysql_secure_installation --help "List releases in a Gitlab repository, limited to 30 items",glab release list Display information about a specific release,glab release view tag Create a new release,glab release create tag Delete a specific release,glab release delete tag Download assets from a specific release,glab release download tag Upload assets to a specific release,glab release upload tag path/to/file1 path/to/file2 ... Make shares available at `mountpoint`,smbnetfs mountpoint Search recursively for a pattern in all files in the current directory,rga regular_expression List available adapters,rga --rga-list-adapters "Change which adapters to use (e.g. ffmpeg, pandoc, poppler etc.)","rga --rga-adapters=adapter1,adapter2 regular_expression" Search for a pattern using the mime type instead of the file extension (slower),rga --rga-accurate regular_expression Display help,rga --help Launch silentcast,silentcast Launch silentcast on a specific display,silentcast --display=display List gadgets in the binary file,ROPgadget --binary path/to/binary Filter gadgets in the binary file by a regular expression,ROPgadget --binary path/to/binary --re regex "List gadgets in the binary file, excluding specified type",ROPgadget --binary path/to/binary --norop|nojob|nosys Exclude bad byte gadgets in the binary file,ROPgadget --binary path/to/binary --badbytes byte_string List gadgets up to the specified number of bytes in the binary file,ROPgadget --binary path/to/binary --depth nbyte Initialize a backup repository in a given local [d]irectory,bup -d path/to/repository init Prepare a given [d]irectory before taking a backup,bup -d path/to/repository index path/to/directory Backup a [d]irectory to the repository specifying its [n]ame,bup -d path/to/repository save -n backup_name path/to/directory Show the backup snapshots currently stored in the repository,bup -d path/to/repository ls Restore a specific backup snapshot to a target dire[C]tory,bup -d path/to/repository restore -C path/to/target_directory backup_name Rebuild with `make` if any file changes,reflex make Compile and run Go application if any `.go` file changes,reflex --regex='\.go$' go run . Ignore a directory when watching for changes,reflex --inverse-regex='^dir/' command Run command when reflex starts and restarts on file changes,reflex --start-service=true command Substitute the filename that changed in,reflex -- echo {} Clean up unreferenced data from the repository,dolt gc Initiate a faster but less thorough garbage collection process,dolt gc --shallow "Generate a bug report about a specific package, then send it by e-mail",reportbug package "Report a bug that is not about a specific package (general problem, infrastructure, etc.)",reportbug other Write the bug report to a file instead of sending it by e-mail,reportbug -o filename package Compare all changed files,git diff-files Compare only specified files,git diff-files path/to/file Show only the names of changed files,git diff-files --name-only Output a summary of extended header information,git diff-files --summary Compile and run sequential Erlang program as a common script and then exit,"erlc path/to/file1 path/to/file2 ... && erl -noshell 'mymodule:myfunction(arguments), init:stop().'" Connect to a running Erlang node,erl -remsh nodename@hostname -sname custom_shortname -hidden -setcookie cookie_of_remote_node Tell the Erlang shell to load modules from a directory,erl -pa path/to/directory_with_beam_files Search for a package in remote repositories using a regular expression or a keyword (if `--regex` is omitted),xbps-query --search regular_expression|keyword --repository --regex Show information about an installed package,xbps-query --show package Show information about a package in remote repositories,xbps-query --show package --repository List packages registered in the package database,xbps-query --list-pkgs List explicitly installed packages (i.e. not automatically installed as dependencies),xbps-query --list-manual-pkgs Print shared object mappings for a Java process (output like pmap),jmap java_pid Print heap summary information,jmap -heap filename.jar java_pid Print histogram of heap usage by type,jmap -histo java_pid Dump contents of the heap into a binary file for analysis with jhat,"jmap -dump:format=b,file=path/to/file java_pid" Dump live objects of the heap into a binary file for analysis with jhat,"jmap -dump:live,format=b,file=path/to/file java_pid" "Convert a JPEG file to AVIF, saving it to `file.avif`",cavif path/to/image.jpg Adjust the image quality and convert a PNG file to AVIF,cavif --quality 1..100 path/to/image.png Specify the output location,cavif path/to/image.jpg --output path/to/output.avif Overwrite the destination file if it already exists,cavif --overwrite path/to/image.jpg Ping host,ping host Ping a host only a specific number of times,ping -c count host "Ping host, specifying the interval in seconds between requests (default is 1 second)",ping -i seconds host Ping host without trying to lookup symbolic names for addresses,ping -n host Ping host and ring the bell when a packet is received (if your terminal supports it),ping -a host Also display a message if no response was received,ping -O host "Ping a host with specific number of pings, timeout (`-W`) for each reply, and total time limit (`-w`) of the entire ping run",ping -c count -W seconds -w seconds host Run a QEMU virtual machine,virt-qemu-run path/to/guest.xml Run a QEMU virtual machine and store the state in a specific directory,virt-qemu-run --root=path/to/directory path/to/guest.xml Run a QEMU virtual machine and display verbose information about the startup,virt-qemu-run --verbose path/to/guest.xml Display help,virt-qemu-run --help Launch Nautilus,nautilus Launch Nautilus as root user,nautilus admin:/ Launch Nautilus and display a specific directory,nautilus path/to/directory Launch Nautilus with a specific file or directory selected,nautilus --select path/to/file_or_directory Launch Nautilus in a separated window,nautilus --new-window Close all Nautilus instances,nautilus --quit Display help,nautilus --help Delete a shared memory segment by ID,ipcrm --shmem-id shmem_id Delete a shared memory segment by key,ipcrm --shmem-key shmem_key Delete an IPC queue by ID,ipcrm --queue-id ipc_queue_id Delete an IPC queue by key,ipcrm --queue-key ipc_queue_key Delete a semaphore by ID,ipcrm --semaphore-id semaphore_id Delete a semaphore by key,ipcrm --semaphore-key semaphore_key Delete all IPC resources,ipcrm --all Create and switch to a new branch,git checkout -b branch_name "Create and switch to a new branch based on a specific reference (branch, remote/branch, tag are examples of valid references)",git checkout -b branch_name reference Switch to an existing local branch,git checkout branch_name Switch to the previously checked out branch,git checkout - Switch to an existing remote branch,git checkout --track remote_name/branch_name Discard all unstaged changes in the current directory (see `git reset` for more undo-like commands),git checkout . Discard unstaged changes to a given file,git checkout path/to/file Replace a file in the current directory with the version of it committed in a given branch,git checkout branch_name -- path/to/file Display CPU statistics every 2 seconds,mpstat 2 "Display 5 reports, one by one, at 2 second intervals",mpstat 2 5 "Display 5 reports, one by one, from a given processor, at 2 second intervals",mpstat -P 0 2 5 View documentation for the original command,tldr todo Show revenues and expenses (changes in `Revenue` and `Expense` accounts),hledger incomestatement Show revenues and expenses each month,hledger incomestatement --monthly "Show monthly revenues/expenses/totals, largest first, summarised to 2 levels",hledger incomestatement --monthly --row-total --average --sort --depth 2 "Short form of the above, and generate HTML output in `is.html`",hledger is -MTAS -2 -o is.html Connect to the default X server,twm Connect to a specific X server,twm -display display Only manage the default screen,twm -s Use a specific startup file,twm -f path/to/file Enable verbose mode and print unexpected errors in X,twm -v Rename a file or directory when the target is not an existing directory,mv path/to/source path/to/target Move a file or directory into an existing directory,mv path/to/source path/to/existing_directory "Move multiple files into an existing directory, keeping the filenames unchanged",mv path/to/source1 path/to/source2 ... path/to/existing_directory Do not prompt ([f]) for confirmation before overwriting existing files,mv --force path/to/source path/to/target "Prompt for confirmation [i]nteractively before overwriting existing files, regardless of file permissions",mv --interactive path/to/source path/to/target Do not overwrite ([n]) existing files at the target,mv --no-clobber path/to/source path/to/target "Move files in [v]erbose mode, showing files after they are moved",mv --verbose path/to/source path/to/target Specify [t]arget directory so that you can use external tools to gather movable files,find /var/log -type f -name '*.log' -print0 | xargs -0 mv --target-directory path/to/target_directory Check status,sudo aa-status Display the number of loaded policies,sudo aa-status --profiled Display the number of loaded enforicing policies,sudo aa-status --enforced Display the number of loaded non-enforcing policies,sudo aa-status --complaining Display the number of loaded enforcing policies that kill tasks,sudo aa-status --kill "Convert an input image to PBM, PGM, or PPM format irrespective of the input type",anytopnm path/to/input > path/to/output.pnm Display version,anytopnm -version Run a meteor project from its root directory in development mode,meteor Create a project under the given directory,meteor create path/to/directory Display the list of packages the project is currently using,meteor list Add a package to the project,meteor add package Remove a package from the project,meteor remove package Create a production build of the project as a tarball under the given directory,meteor build path/to/directory "Print the current state of IVPN, including the connection and firewall status",ivpn status List available servers to connect to,ivpn servers List all attributes of a kernel module,modinfo kernel_module List the specified attribute only,modinfo -F author|description|license|parm|filename kernel_module Extract all images from a PDF file and save them as PNGs,pdfimages -png path/to/file.pdf filename_prefix Extract images from pages 3 to 5,pdfimages -f 3 -l 5 path/to/file.pdf filename_prefix Extract images from a PDF file and include the page number in the output filenames,pdfimages -p path/to/file.pdf filename_prefix List information about all the images in a PDF file,pdfimages -list path/to/file.pdf Show all transactions in the default journal file,hledger print "Show transactions, with any implied amounts or costs made explicit",hledger print --explicit --infer-costs "Show transactions from two specified files, with amounts converted to cost",hledger print --file path/to/2023.journal --file path/to/2024.journal --cost Show `$` transactions in `*food*` but not `*groceries*` accounts this month,hledger print cur:\\$ food not:groceries date:thismonth "Show transactions of amount 50 or more, with `whole foods` in their description",hledger print amt:'>50' desc:'whole foods' "Show cleared transactions, with `EUR` amounts rounded and with decimal commas","hledger print --cleared --commodity '1000, EUR' --round hard" Write transactions from `foo.journal` as a CSV file,hledger print --file path/to/foo.journal --output-file path/to/output_file.csv Create a GeoPackage with a layer for each input Shapefile,ogrmerge.py -f GPKG -o path/to/output.gpkg path/to/input1.shp path/to/input2.shp ... Create a virtual datasource (VRT) with a layer for each input GeoJSON,ogrmerge.py -f VRT -o path/to/output.vrt path/to/input1.geojson path/to/input2.geojson ... Concatenate two vector datasets and store source name of dataset in attribute 'source_name',ogrmerge.py -single -f GeoJSON -o path/to/output.geojson -src_layer_field_name country source_name path/to/input1.shp path/to/input2.shp ... Draw a box around a string,"echo ""string"" | boxes" [r]emove a box from a string,"echo ""string"" | boxes -r" Specify the box [d]esign,"echo ""string"" | boxes -d parchment" Specify the box [s]ize (in columns by lines),"echo ""string"" | boxes -s 10x5" "[a]lign the box text [h]orizonally (at [l]eft, [c]enter or [r]ight)","echo ""string"" | boxes -a hl|c|r" "[a]lign the box text [v]ertically (at [t]op, [c]enter or [b]ottom)","echo ""string"" | boxes -a vt|c|b" "[j]ustify the box text (at [l]eft, [c]enter or [r]ight)","echo ""string"" | boxes -a jl|c|rvt" Merge a branch into your current branch,git merge branch_name Edit the merge message,git merge --edit branch_name Merge a branch and create a merge commit,git merge --no-ff branch_name Abort a merge in case of conflicts,git merge --abort Merge using a specific strategy,git merge --strategy strategy --strategy-option strategy_option branch_name Execute a TypeScript file without compiling (`node` + `tsc`),ts-node path/to/file.ts Execute a TypeScript file without loading `tsconfig.json`,ts-node --skip-project path/to/file.ts Evaluate TypeScript code passed as a literal,"ts-node --eval 'console.log(""Hello World"")'" Execute a TypeScript file in script mode,ts-node --script-mode path/to/file.ts Transpile a TypeScript file to JavaScript without executing it,ts-node --transpile-only path/to/file.ts Display TS-Node help,ts-node --help Convert a WBMP file to a PBM image,wbmptopbm path/to/input_file.wbpm > path/to/output_file.pbm Prevent desktop from sleeping (use `Ctrl + C` to exit),caffeinate Display the file header information,objdump -f binary Display all header information,objdump -x binary Display the disassembled output of executable sections,objdump -d binary Display the disassembled executable sections in intel syntax,objdump -M intel -d binary Display a complete binary hex dump of all sections,objdump -s binary List available network interfaces,tcpdump -D Capture the traffic of a specific interface,tcpdump -i eth0 Capture all TCP traffic showing contents (ASCII) in console,tcpdump -A tcp Capture the traffic from or to a host,tcpdump host www.example.com "Capture the traffic from a specific interface, source, destination and destination port",tcpdump -i eth0 src 192.168.1.1 and dst 192.168.1.2 and dst port 80 Capture the traffic of a network,tcpdump net 192.168.1.0/24 Capture all traffic except traffic over port 22 and save to a dump file,tcpdump -w dumpfile.pcap port not 22 Read from a given dump file,tcpdump -r dumpfile.pcap Create a named pipe at a given path,mkfifo path/to/pipe Send data through a named pipe and send the command to the background,"echo ""Hello World"" > path/to/pipe &" Receive data through a named pipe,cat path/to/pipe Share your terminal session in real-time,mkfifo path/to/pipe; script -f path/to/pipe Display traffic summary for all interfaces,vnstat Display traffic summary for a specific network interface,vnstat -i network_interface Display live stats for a specific network interface,vnstat -l -i network_interface Show traffic statistics on an hourly basis for the last 24 hours using a bar graph,vnstat -hg Measure and show average traffic for 30 seconds,vnstat -tr 30 Scan a file for vulnerabilities,clamscan path/to/file Scan all files recursively in a specific directory,clamscan -r path/to/directory Scan data from `stdin`,command | clamscan - Specify a virus database file or directory of files,clamscan --database path/to/database_file_or_directory Scan the current directory and output only infected files,clamscan --infected Print the scan report to a log file,clamscan --log path/to/log_file Move infected files to a specific directory,clamscan --move path/to/quarantine_directory Remove infected files,clamscan --remove yes Set or unset a SELinux boolean. Booleans allow the administrator to customize how policy rules affect confined process types (a.k.a domains),sudo semanage boolean -m|--modify -1|--on|-0|--off haproxy_connect_any Add a user-defined file context labeling rule. File contexts define what files confined domains are allowed to access,sudo semanage fcontext -a|--add -t|--type samba_share_t '/mnt/share(/.*)?' Add a user-defined port labeling rule. Port labels define what ports confined domains are allowed to listen on,sudo semanage port -a|--add -t|--type ssh_port_t -p|--proto tcp 22000 Set or unset permissive mode for a confined domain. Per-domain permissive mode allows more granular control compared to `setenforce`,sudo semanage permissive -a|--add|-d|--delete httpd_t Output local customizations in the default store,sudo semanage export -f|--output_file path/to/file Import a file generated by `semanage export` into local customizations (CAREFUL: may remove current customizations!),sudo semanage import -f|--input_file path/to/file Remove all fingerprints for a specific user,fprintd-delete username Remove a specific fingerprints for a specific user,fprintd-delete username --finger left-thumb|left-index-finger|left-middle-finger|left-ring-finger|left-little-finger|right-thumb|right-index-finger|right-middle-finger|right-ring-finger|right-little-finger Display help,fprintd-delete Update the list of available packages and versions,slapt-get --update "Install a package, or update it to the latest available version",slapt-get --install package Remove a package,slapt-get --remove package Upgrade all installed packages to their latest available versions,slapt-get --upgrade "Locate packages by the package name, disk set, or version",slapt-get --search query Show information about a package,slapt-get --show package "Run a command from a package, without installing it",uv tool run command Install a Python package system-wide,uv tool install package Upgrade an installed Python package,uv tool upgrade package Uninstall a Python package,uv tool uninstall package List Python packages installed system-wide,uv tool list Dump the local package database,tlmgr dump-tlpdb --local Dump the remote package database,tlmgr dump-tlpdb --remote Dump the local package database as JSON,tlmgr dump-tlpdb --local --json Compress a PNG with default settings,optipng path/to/file.png Compress a PNG with the best compression,optipng -o7 path/to/file.png Compress a PNG with the fastest compression,optipng -o0 path/to/file.png Compress a PNG and add interlacing,optipng -i 1 path/to/file.png Compress a PNG and preserve all metadata (including file timestamps),optipng -preserve path/to/file.png Compress a PNG and remove all metadata,optipng -strip all path/to/file.png Compile a file to an object file,adscript --output path/to/file.o path/to/input_file.adscript Compile and link a file to a standalone executable,adscript --executable --output path/to/file path/to/input_file.adscript Compile a file to LLVM IR instead of native machine code,adscript --llvm-ir --output path/to/file.ll path/to/input_file.adscript Cross-compile a file to an object file for a foreign CPU architecture or operating system,adscript --target-triple i386-linux-elf --output path/to/file.o path/to/input_file.adscript Run a command as a daemon,"daemon --name=""name"" command" Run a command as a daemon which will restart if the command crashes,"daemon --name=""name"" --respawn command" "Run a command as a daemon which will restart if it crashes, with two attempts every 10 seconds","daemon --name=""name"" --respawn --attempts=2 --delay=10 command" "Run a command as a daemon, writing logs to a specific file","daemon --name=""name"" --errlog=path/to/file.log command" Kill a daemon (SIGTERM),"daemon --name=""name"" --stop" List daemons,daemon --list Execute a shell command on each image in a Netpbm file,pampick image_number1 image_number2 ... < path/to/image.pam > path/to/output.pam "Tail the logs of multiple pods (whose name starts with ""my_app"") in one go",kubetail my_app Tail only a specific container from multiple pods,kubetail my_app -c my_container To tail multiple containers from multiple pods,kubetail my_app -c my_container_1 -c my_container_2 To tail multiple applications at the same time separate them by comma,"kubetail my_app_1,my_app_2" Display an fstab compatible output based on a volume label,genfstab -L path/to/mount_point Display an fstab compatible output based on a volume UUID,genfstab -U path/to/mount_point "A usual way to generate an fstab file, requires root permissions",genfstab -U /mnt >> /mnt/etc/fstab Append a volume into an fstab file to mount it automatically,genfstab -U path/to/mount_point | sudo tee -a /etc/fstab Start the cluster,minikube start Get the IP address of the cluster,minikube ip Access a service named my_service exposed via a node port and get the URL,minikube service my_service --url Open the Kubernetes dashboard in a browser,minikube dashboard Stop the running cluster,minikube stop Delete the cluster,minikube delete Connect to LoadBalancer services,minikube tunnel Show the name of the currently active branch,hg branch Create a new branch for the next commit,hg branch branch_name "Setup up `chezmoi`, creating a Git repository in `~/.local/share/chezmoi`",chezmoi init Set up `chezmoi` from existing dotfiles of a Git repository,chezmoi init repository_url Start tracking one or more dotfiles,chezmoi add path/to/dotfile1 path/to/dotfile2 ... Update repository with local changes,chezmoi re-add path/to/dotfile1 path/to/dotfile2 ... Edit the source state of a tracked dotfile,chezmoi edit path/to/dotfile_or_symlink See pending changes,chezmoi diff Apply the changes,chezmoi -v apply Pull changes from a remote repository and apply them,chezmoi update Launch the GUI,audacious Start a new instance and play an audio,audacious --new-instance path/to/audio Enqueue a specific directory of audio files,audacious --enqueue path/to/directory Start or stop playback,audacious --play-pause Skip forwards ([fwd]) or backwards ([rew]) in the playlist,audacious --fwd|rew Stop playback,audacious --stop Start in CLI mode (headless),audacious --headless Exit as soon as playback stops or there is nothing to playback,audacious --quit-after-play List all the USB devices available,lsusb List the USB hierarchy as a tree,lsusb -t List verbose information about USB devices,lsusb --verbose List detailed information about a USB device,lsusb --verbose -s bus:device number List devices with a specified vendor and product ID only,lsusb -d vendor:product Make a new draft,lb new Edit a draft,lb edit Delete a draft,lb trash Publish a draft,lb publish Delete a published post,lb delete Unpublish a published post to edit it as a draft again,lb revise Search for a specific topic on all installed sources,wikiman search_term Search for a topic in a specific [s]ource,wikiman -s source search_term Search for a topic in two or more specific [s]ources,"wikiman -s source1,source2,... search_term" List existing [S]ources,wikiman -S Display [h]elp,wikiman -h Make a STUN request,stun stun.1und1.de Make a STUN request and specify the source port,stun stun.1und1.de -p 4302 Convert the specified PGM image to Usenix FaceSave format,pgmtofs path/to/input.pgm > path/to/output.fs Start a graphical setup at the default Wine location,winetricks Specify a custom Wine directory to run Winetricks in,WINEPREFIX=path/to/wine_directory winetricks Install a Windows DLL or component to the default Wine directory,winetricks package View documentation for the current command,tldr pamedge Test a URL with default settings,siege https://example.com Test a list of URLs,siege --file path/to/url_list.txt Test list of URLs in a random order (Simulates internet traffic),siege --internet --file path/to/url_list.txt Benchmark a list of URLs (without waiting between requests),siege --benchmark --file path/to/url_list.txt Set the amount of concurrent connections,siege --concurrent=50 --file path/to/url_list.txt Set how long for the siege to run for,siege --time=30s --file path/to/url_list.txt Display system memory,free Display memory in Bytes/KB/MB/GB,free -b|k|m|g Display memory in human-readable units,free -h Refresh the output every 2 seconds,free -s 2 "Start the web app, and a browser if possible, for local viewing and adding only",hledger-web "As above but with a specified file, and allow editing of existing data",hledger-web --file path/to/file.journal --allow edit "Start just the web app, and accept incoming connections to the specified host and port",hledger-web --serve --host my.host.name --port 8000 "Start just the web app's JSON API, and allow only read access",hledger-web --serve-api --host my.host.name --allow view Show amounts converted to current market value in your base currency when known,hledger-web --value now --infer-market-prices Show the manual in Info format if possible,hledger-web --info Display help,hledger-web --help Write the output of the specified command to the journal (both output streams are captured),systemd-cat command Write the output of a pipeline to the journal (`stderr` stays connected to the terminal),command | systemd-cat Convert a CMU window manager bitmap to a PBM image,cmuwmtopbm path/to/image.pbm > path/to/output.bmp Initialize an .rspec configuration and a spec helper file,rspec --init Run all tests,rspec Run a specific directory of tests,rspec path/to/directory Run one or more test files,rspec path/to/file1 path/to/file2 ... Run a specific test in a file (e.g. the test starts on line 83),rspec path/to/file:83 Run specs with a specific seed,rspec --seed seed_number List all supported operations (enabled operations are indicated with asterisks),virt-sysprep --list-operations Run all enabled operations but don't actually apply the changes,virt-sysprep --domain vm_name --dry-run Run only the specified operations,"virt-sysprep --domain vm_name --operations operation1,operation2,..." Generate a new `/etc/machine-id` file and enable customizations to be able to change the host name to avoid network conflicts,virt-sysprep --domain vm_name --enable customizations --hostname host_name --operation machine-id Run the `default` Rakefile task,rake Run a specific task,rake task Execute `n` jobs at a time in parallel (number of CPU cores + 4 by default),rake --jobs n Use a specific Rakefile,rake --rakefile path/to/Rakefile Execute `rake` from another directory,rake --directory path/to/directory Scaffold a `tye.yaml` file representing the application,tye init Run an application locally,tye run Build an application's containers,tye build Push an application's containers,tye push Deploy an application to Kubernetes,tye deploy Remove a deployed application from Kubernetes,tye undeploy Create PGM image with a uniform gray level (specified as a number between 0 and 1) and the specified dimensions,pgmmake graylevel width height > path/to/output_file.pgm Authenticate the CLI with Graphite's API,gt auth --token graphite_cli_auth_token Initialise `gt` for the repository in the current directory,gt repo init Create a new branch stacked on top of the current branch and commit staged changes,gt branch create branch_name Create a new commit and fix upstack branches,gt commit create -m commit_message Force push all branches in the current stack to GitHub and create or update PRs,gt stack submit Log all tracked stacks,gt log short Display help for a specified subcommand,gt subcommand --help Setup Doppler CLI in the current directory,doppler setup Setup Doppler project and config in current directory,doppler setup Run a command with secrets injected into the environment,doppler run --command command View your project list,doppler projects View your secrets for current project,doppler secrets Open Doppler dashboard in browser,doppler open Build a PlatformIO project in the default system temporary directory and delete it afterwards,pio ci path/to/project Build a PlatformIO project and specify specific libraries,pio ci --lib path/to/library_directory path/to/project Build a PlatformIO project and specify a specific board (`pio boards` lists all of them),pio ci --board board path/to/project Build a PlatformIO project in a specific directory,pio ci --build-dir path/to/build_directory path/to/project Build a PlatformIO project and don't delete the build directory,pio ci --keep-build-dir path/to/project Build a PlatformIO project using a specific configuration file,pio ci --project-conf path/to/platformio.ini Upscale an image,waifu2x-ncnn-vulkan -i path/to/input_file -o path/to/output_file Upscale an image by a custom scale factor and denoise it,waifu2x-ncnn-vulkan -i path/to/input_file -o path/to/output_file -s 1|2|4|8|16|32 -n -1|0|1|2|3 Save the upscaled image in a specific format,waifu2x-ncnn-vulkan -i path/to/input_file -o path/to/output_file -f jpg|png|webp Show information about all the IPC,ipcs -a "Show information about active shared [m]emory segments, message [q]ueues or [s]empahore sets",ipcs -m|-q|-s Show information on maximum allowable size in [b]ytes,ipcs -b Show [c]reator’s user name and group name for all IPC facilities,ipcs -c Show the [p]ID of the last operators for all IPC facilities,ipcs -p Show access [t]imes for all IPC facilities,ipcs -t "Show [o]utstanding usage for active message queues, and shared memory segments",ipcs -o Format the configuration in the current directory,terraform fmt Format the configuration in the current directory and subdirectories,terraform fmt -recursive Display diffs of formatting changes,terraform fmt -diff Do not list files that were formatted to `stdout`,terraform fmt -list=false Display path to the local `node_modules` directory,npm root Display path to the global `node_modules` directory,npm root --global Read a Biorad confocal file and store the n'th image contained in it to as a PGM file,bioradtopgm -n path/to/file.pic > path/to/file.pgm Read a Biorad confocal file and print the number of images it contains,bioradtopgm path/to/file.pic Display version,bioradtopgm -version View documentation for the original command,tldr magick mogrify Scan a specific file for viruses,vt scan file path/to/file Scan a URL for viruses,vt scan url url Display information from a specific analysis,vt analysis file_id|analysis_id Download files in encrypted Zip format (requires premium account),vt download file_id --output path/to/directory --zip --zip-password password Initialize or re-initialize `vt` to enter API key interactively,vt init Display information about a domain,vt domain url Display information for a specific URL,vt url url Display information for a specific IP address,vt domain ip_address Get the security context of the current execution context,secon Get the current security context of a process,secon --pid 1 "Get the current security context of a file, resolving all intermediate symlinks",secon --file path/to/file_or_directory Get the current security context of a symlink itself (i.e. do not resolve),secon --link path/to/symlink Parse and explain a context specification,"secon system_u:system_r:container_t:s0:c899,c900" Proxy a specific virtual machine,qm vncproxy vm_id List available Qt versions from the configuration files,qtchooser --list-versions Print environment information,qtchooser --print-env Run the specified tool using the specified Qt version,qtchooser --run-tool=tool --qt=version_name Add a Qt version entry to be able to choose from,qtchooser --install version_name path/to/qmake Display help,qtchooser --help [a]dd a new command to the cheatshheet,cheatshh --add Edit ([ec]) an existing command's description or group in the cheatshheet,cheatshh --edit-command Delete ([dc]) an existing command from the cheatshheet,cheatshh --delete-command Create a new [g]roup,cheatshh --group Edit ([eg]) an existing group's name or description in the cheatsheet,cheatshh --edit-group Delete ([dg]) an existing group and it's sub commands from commands.json file,cheatshh --delete-group Display [m]an pages after tldr in the preview,cheatshh --man Monitor everything on localhost,tshark Only capture packets matching a specific capture filter,tshark -f 'udp port 53' Only show packets matching a specific output filter,"tshark -Y 'http.request.method == ""GET""'" Decode a TCP port using a specific protocol (e.g. HTTP),"tshark -d tcp.port==8888,http" Specify the format of captured output,tshark -T json|text|ps|… Select specific fields to output,tshark -T fields|ek|json|pdml -e http.request.method -e ip.src Write captured packet to a file,tshark -w path/to/file Analyze packets from a file,tshark -r path/to/file.pcap Display 100 decimal digits of Archimedes' constant Pi,pi Display a specified number of decimal digits of Archimedes' constant Pi,pi number Display recommended readings,pi --bibliography Display help,pi --help Display version,pi --version Defragment the filesystem,e4defrag /dev/sdXN See how fragmented a filesystem is,e4defrag -c /dev/sdXN Print errors and the fragmentation count before and after each file,e4defrag -v /dev/sdXN Paste a PNM image into another PNM image at the specified coordinates,pnmpaste x y path/to/image1.pnm path/to/image2.pnm > path/to/output.pnm Paste the image read from `stdin` into the specified image,command | pnmpaste x y path/to/image.pnm > path/to/output.pnm "Combine the overlapping pixels by the specified boolean operation, where white pixels represent `true` while black pixels represent `false`",pnmpaste -and|nand|or|nor|xor|xnor x y path/to/image1.pnm path/to/image2.pnm > path/to/output.pnm Start an interactive Git shell,git repl Run a Git command while in the interactive Git shell,git_subcommand command_arguments Run an external (non-Git) command while in the interactive Git shell,!command command_arguments Exit the interactive Git shell (or press Ctrl + D),exit Decompose one or more graphs into their connected components,ccomps path/to/input1.gv path/to/input2.gv ... > path/to/output.gv "Print the number of nodes, edges, and connected components in one or more graphs",ccomps -v -s path/to/input1.gv path/to/input2.gv ... Write each connected component to numbered filenames based on `output.gv`,ccomps -x -o path/to/output.gv path/to/input1.gv path/to/input2.gv ... Display help,ccomps -? Start Waydroid,waydroid Initialize Waydroid (required on first run or after reinstalling Android),sudo waydroid init Install a new Android app from a file,waydroid app install path/to/file.apk Launch an Android app by its package name,waydroid app launch com.example.app Start or stop the Waydroid session,waydroid session start|stop Manage the Waydroid container,sudo waydroid container start|stop|restart|freeze|unfreeze Open Waydroid shell,sudo waydroid shell Adjust Waydroid window dimensions,waydroid prop set persist.waydroid.width|height number Move a specific job to `new_printer`,lpmove job_id new_printer Move a job from `old_printer` to `new_printer`,lpmove old_printer-job_id new_printer Move all jobs from `old_printer` to `new_printer`,lpmove old_printer new_printer Move a specific job to `new_printer` on a specific server,lpmove -h server job_id new_printer Change the pa[t]tern of the pipes,pipes.sh -t 0..9 Change the [c]olor of the pipes,pipes.sh -c 0..7 Change the [f]ramerate of the pipes,pipes.sh -f 20..100 Disable [C]olors,pipes.sh -C Display [v]ersion,pipes.sh -v Generate an SSH key of [t]ype ed25519 and write it to key [f]ile,dropbearkey -t ed25519 -f path/to/key_file Generate an SSH key of [t]ype ecdsa and write it to key [f]ile,dropbearkey -t ecdsa -f path/to/key_file Generate an SSH key of [t]ype RSA with 4096-bit key [s]ize and write it to key [f]ile,dropbearkey -t rsa -s 4096 -f path/to/key_file Print the private key fingerprint and public key in key [f]ile,dropbearkey -y -f path/to/key_file "Set the maximum number of tasks allowed to run in parallel, in the default group",pueue parallel max_number_of_parallel_tasks "Set the maximum number of tasks allowed to run in parallel, in a specific group",pueue parallel --group group_name maximum_number_of_parallel_tasks Spell check a single file,aspell check path/to/file List misspelled words from `stdin`,cat path/to/file | aspell list Show available dictionary languages,aspell dicts Run `aspell` with a different language (takes two-letter ISO 639 language code),aspell --lang=cs List misspelled words from `stdin` and ignore words from personal word list,cat path/to/file | aspell --personal=personal-word-list.pws list List all available profiles,lxc profile list Show the configuration of a specific profile,lxc profile show profile_name Edit a specific profile in the default editor,lxc profile edit profile_name Edit a specific profile importing the configuration values from a file,lxc profile edit profile_name < config.yaml Launch a new container with specific profiles,lxc launch container_image container_name --profile profile1 --profile profile2 Change the profiles of a running container,"lxc profile assign container_name profile1,profile2" A preferred way to trace the path to a host,tracepath -p 33434 host "Specify the initial destination port, useful with non-standard firewall settings",tracepath -p destination_port host Print both hostnames and numerical IP addresses,tracepath -b host Specify a maximum TTL (number of hops),tracepath -m max_hops host Specify the initial packet length (defaults to 65535 for IPv4 and 128000 for IPv6),tracepath -l packet_length host Use only IPv6 addresses,tracepath -6 host Start `sdcv` interactively,sdcv List installed dictionaries,sdcv --list-dicts Display a definition from a specific dictionary,sdcv --use-dict dictionary_name search_term Look up a definition with a fuzzy search,sdcv search_term Look up a definition with an exact search,sdcv --exact-search search_term Look up a definition and format the output as JSON,sdcv --json search_term Search for dictionaries in a specific directory,sdcv --data-dir path/to/directory search_term Start a REPL (interactive shell),ghci Start a REPL and load the specified Haskell source file,ghci source_file.hs Start a REPL and enable a language option,ghci -Xlanguage_option Start a REPL and enable some level of compiler warnings (e.g. `all` or `compact`),ghci -Wwarning_level Start a REPL with a colon-separated list of directories for finding source files,ghci -ipath/to/directory1:path/to/directory2:... Resolve the pathnames specified as the argument parameters,namei path/to/a path/to/b path/to/c Display the results in a long-listing format,namei --long path/to/a path/to/b path/to/c Show the mode bits of each file type in the style of `ls`,namei --modes path/to/a path/to/b path/to/c Show owner and group name of each file,namei --owners path/to/a path/to/b path/to/c Don't follow symlinks while resolving,namei --nosymlinks path/to/a path/to/b path/to/c Run a WirePlumber script,wpexec path/to/file.lua Display help,wpexec --help Execute a command without sharing access to connected networks,unshare --net command command_arguments "Execute a command as a child process without sharing mounts, processes, or networks",unshare --mount --pid --net --fork command command_arguments Start an interactive session,iex Start a session that remembers history,"iex --erl ""-kernel shell_history enabled""" Start and load Mix project files,iex -S mix Remove a package from a local repository,repo-remove path/to/database.db.tar.gz package Enroll the right index finger for the current user,fprintd-enroll Enroll a specific finger for the current user,fprintd-enroll --finger left-thumb|left-index-finger|left-middle-finger|left-ring-finger|left-little-finger|right-thumb|right-index-finger|right-middle-finger|right-ring-finger|right-little-finger Enroll the right index finger for a specific user,fprintd-enroll username Enroll a specific finger for a specific user,fprintd-enroll --finger finger_name username Display help,fprintd-enroll --help Enter the typing test,typeinc Display the top 10 rank list for input difficulty level,typeinc -r|--ranklist difficulty_level Get random English words present in our wordlist,typeinc -w|--words word_count Calculate hypothetical Typeinc score,typeinc -s|--score Start top-like I/O monitor,sudo iotop Show only processes or threads actually doing I/O,sudo iotop --only Show I/O usage in non-interactive mode,sudo iotop --batch Show only I/O usage of processes (default is to show all threads),sudo iotop --processes Show I/O usage of given PID(s),sudo iotop --pid=PID Show I/O usage of a given user,sudo iotop --user=user Show accumulated I/O instead of bandwidth,sudo iotop --accumulated Start Polybar (the bar name is optional if only one bar is defined in the config),polybar bar_name Start Polybar with the specified config,polybar --config=path/to/config.ini bar_name Start Polybar and reload the bar when the configuration file is modified,polybar --reload bar_name Create a new site,gatsby new site_name Create a new site with a Gatsby 'starter',gatsby new site_name url_of_starter_github_repo Start a live-reloading local development server,gatsby develop Perform a production build and generate static HTML,gatsby build Start a local server which serves the production build,gatsby serve Display help for a specific RDS subcommand,aws rds subcommand help Stop instance,aws rds stop-db-instance --db-instance-identifier instance_identifier Start instance,aws rds start-db-instance --db-instance-identifier instance_identifier Modify an RDS instance,aws rds modify-db-instance --db-instance-identifier instance_identifier parameters --apply-immediately Apply updates to an RDS instance,aws rds apply-pending-maintenance-action --resource-identifier database_arn --apply-action system-update --opt-in-type immediate Change an instance identifier,aws rds modify-db-instance --db-instance-identifier old_instance_identifier --new-db-instance-identifier new_instance_identifier Reboot an instance,aws rds reboot-db-instance --db-instance-identifier instance_identifier Delete an instance,aws rds delete-db-instance --db-instance-identifier instance_identifier --final-db-snapshot-identifier snapshot_identifier --delete-automated-backups Launch tuir,tuir Open a subreddit,/subreddit_name Open a link,o Open a specific subreddit on launch,tuir -s subreddit_name Open external links using programs defined in the mailcap config,tuir --enable-media Display help,openssl help Display help for a specific subcommand,openssl help x509 Display version,openssl version Launch the GUI,qmmp Start or stop the currently playing audio,qmmp --play-pause Seek [f]or[w]ar[d]s or [b]ack[w]ar[d]s a specific amount of time in seconds,qmmp --seek-fwd|bwd time_in_seconds Play the next audio file,qmmp --next Play the previous audio file,qmmp --previous Display the current volume,qmmp --volume-status [inc]rease or [dec]rease the volume of the currently playing audio by 5%,qmmp --volume-inc|dec Flip the current (non-persistent) values of the specified booleans,sudo togglesebool virt_use_samba virt_use_usb ... Analyze a Docker image,dive your_image_tag Build an image and start analyzing it,dive build -t some_tag Shutdown a virtual machine,qm shutdown VM_ID Shutdown a virtual machine after wait for at most 10 seconds,qm shutdown --timeout 10 VM_ID Shutdown a virtual machine and do not deactivate storage volumes,qm shutdown --keepActive true VM_ID Shutdown a virtual machine and skip lock (only root can use this option),qm shutdown --skiplock true VM_ID Stop and shutdown a virtual machine,qm shutdown --forceStop true VM_ID Install a package from or a theme from ,apm install package Remove a package/theme,apm remove package Upgrade a package/theme,apm upgrade package Merge a source branch into a specific destination branch,git merge-into source_branch destination_branch Merge current branch into a specific destination branch,git merge-into destination_branch List all currently loaded kernel modules,lsmod Connect to server on a specific IP address via default port (12865),netperf address Specify [p]ort,netperf address -p port Specify the sampling [l]ength in seconds (default is 10),netperf address -l seconds Force IPv[4] or IPv[6],netperf address -4|6 Initialize a new repository in the current directory,hg init Initialize a new repository in the specified directory,hg init path/to/directory Stack the planes of the specified PAM images in the specified order,pamstack path/to/image1.pam path/to/image2.pam ... > path/to/output.pam Specify the tuple type name of the output PAM file (maximum of 255 characters),pamstack -tupletype tuple_type path/to/image1.pam path/to/image2.pam ... > path/to/output.pam Create a single output file from an entry point file,webpack app.js bundle.js Load CSS files too from the JavaScript file (this uses the CSS loader for CSS files),webpack app.js bundle.js --module-bind 'css=css' Pass a configuration file (with e.g. the entry script and the output filename) and show compilation progress,webpack --config webpack.config.js --progress Automatically recompile on changes to project files,webpack --watch app.js bundle.js Show a dependency tree of the current project,cargo tree "Only show dependencies up to the specified depth (e.g. when `n` is 1, display only direct dependencies)",cargo tree --depth n Do not display the given package (and its dependencies) in the tree,cargo tree --prune package_spec Show all occurrences of repeated dependencies,cargo tree --no-dedupe Only show normal/build/development dependencies,cargo tree --edges normal|build|dev Compute a checksum with BSD-compatible algorithm and 1024-byte blocks,sum path/to/file Compute a checksum with System V-compatible algorithm and 512-byte blocks,sum --sysv path/to/file Convert a raw RGB stream to a PPM image,rawtoppm width height path/to/image.raw > path/to/output.ppm Convert a raw RGB stream in which the pixels come bottom-first instead of top-first to a PPM image,rawtoppm width height path/to/image.raw | pamflip -tb > path/to/output.ppm Ignore the first n bytes of the specified file,rawtoppm width height -headerskip n path/to/image.raw > path/to/output.ppm Ignore the last m bytes of each row in the specified file,rawtoppm width height -rowskip m path/to/image.raw > path/to/output.ppm Specify the order of color components for each pixel,rawtoppm width height -rgb|rbg|grb|gbr|brg|bgr path/to/image.raw > path/to/output.ppm Display books,kjv -l Open a specific book,kjv Genesis Open a specific chapter of a book,kjv Genesis 2 Open a specific verse of a specific chapter of a book,kjv John 3:16 Open a specific range of verses of a book's chapter,kjv Proverbs 3:1-6 Display a specific range of verses of a book from different chapters,kjv Matthew 1:7-2:6 Display all verses that match a pattern,kjv /Plagues Display all verses that match a pattern in a specific book,kjv 1Jn/antichrist Generate a default template configuration file `Doxyfile`,doxygen -g Generate a template configuration file,doxygen -g path/to/config_file Generate documentation using an existing configuration file,doxygen path/to/config_file Start a stopwatch,termdown Start a 1 minute and 30 seconds countdown,termdown 1m30s Start a 1 minute 30 seconds countdown with blinking the terminal at the end,termdown 1m30s --blink Show a title above countdown,"termdown 1m30s --title ""Interesting title""" Display current time,termdown --time Search for files containing a string or regular expression in the current directory recursively,"ack ""search_pattern""" Search for a case-insensitive pattern,"ack --ignore-case ""search_pattern""" "Search for lines matching a pattern, printing [o]nly the matched text and not the rest of the line","ack -o ""search_pattern""" Limit search to files of a specific type,"ack --type ruby ""search_pattern""" Do not search in files of a specific type,"ack --type noruby ""search_pattern""" Count the total number of matches found,"ack --count --no-filename ""search_pattern""" Print the file names and the number of matches for each file only,"ack --count --files-with-matches ""search_pattern""" List all the values that can be used with `--type`,ack --help-types List all input devices,xinput list Disable an input,xinput disable id Enable an input,xinput enable id Disconnect an input from its master,xinput float id Reattach an input as slave to a master,xinput reattach id master_id List settings of an input device,xinput list-props id Change a setting of an input device,xinput set-prop id setting_id value View the current and permanent MAC addresses of a interface,macchanger --show interface Set interface to a random MAC,macchanger --random interface "Set an interface to a random MAC address, and pretend to be a [b]urned-[i]n-[a]ddress",macchanger --random --bia interface Set an interface to a specific MAC address,macchanger --mac XX:XX:XX:XX:XX:XX interface Print the identifications (the first three bytes of a MAC address) of all known vendors,macchanger --list Reset an interface to its permanent hardware MAC address,macchanger --permanent interface Start an authenticated session,kcadm.sh config credentials --server host --realm realm_name --user username --password password Create a user,kcadm.sh create users -s username=username -r realm_name List all realms,kcadm.sh get realms Update a realm with JSON config,kcadm.sh update realms/realm_name -f path/to/file.json "Add a file from local to the filesystem, pin it and print the relative hash",ipfs add path/to/file Add a directory and its files recursively from local to the filesystem and print the relative hash,ipfs add -r path/to/directory Save a remote file and give it a name but not pin it,ipfs get hash -o path/to/file Pin a remote file locally,ipfs pin add hash Display pinned files,ipfs pin ls Unpin a file from the local storage,ipfs pin rm hash Remove unpinned files from local storage,ipfs repo gc Concatenate Bitcode files,llvm-cat path/to/file1.bc path/to/file2.bc -o path/to/out.bc Start `fzf` on all files in the specified directory,find path/to/directory -type f | fzf Start `fzf` for running processes,ps aux | fzf Select multiple files with `Shift + Tab` and write to a file,find path/to/directory -type f | fzf --multi > path/to/file Start `fzf` with a specified query,"fzf --query ""query""" "Start `fzf` on entries that start with core and end with either go, rb, or py","fzf --query ""^core go$ | rb$ | py$""" Start `fzf` on entries that not match pyc and match exactly travis,"fzf --query ""!pyc 'travis""" Rotate the input image counter-clockwise for a specific degree,pamflip -rotate90|180|270 path/to/input.pam > path/to/output.pam Flip left for right,pamflip -leftright path/to/input.pam > path/to/output.pam Flip top for bottom,pamflip -topbottom path/to/input.pam > path/to/output.pam Flip the input image on the main diagonal,pamflip -transpose path/to/input.pam > path/to/output.pam Push image based on a base image,crane append -b|--base image_name Push image with appended layer from tarball,crane append -f|--new_layer layer_name1 layer_name2 ... Push image with appended layer with new tag,crane append -t|--new_tag tag_name Push resulting image to new tarball,crane append -o|--output path/to/tarball Use empty base image of type OCI media instead of Docker,crane append --oci-empty-base Annotate resulting image as being based on the base image,crane append --set-base-image-annotations Display help,crane append -h|--help Create a sparsified compressed image without snapshots from an unsparsified one,virt-sparsify --compress path/to/image.qcow2 path/to/image_new.qcow2 Sparsify an image in-place,virt-sparsify --in-place path/to/image.img Create an S3 bucket,aws s3 mb s3://bucket_name Create an S3 bucket in a specific region,aws s3 mb s3://bucket_name --region region Display help,aws s3 mb help Print information about the current raspberry pi EEPROM installed,sudo rpi-eeprom-update Update a raspberry pi EEPROM,sudo rpi-eeprom-update -a Cancel the pending update,sudo rpi-eeprom-update -r Display help,rpi-eeprom-update -h Run the linter on the given files or directories,ruff check path/to/file_or_directory1 path/to/file_or_directory2 ... "Apply the suggested fixes, modifying the files in-place",ruff check --fix Run the linter and re-lint on change,ruff check --watch "Only enable the specified rules (or all rules), ignoring the configuration file","ruff check --select ALL|rule_code1,rule_code2,..." Additionally enable the specified rules,"ruff check --extend-select rule_code1,rule_code2,..." Disable the specified rules,"ruff check --ignore rule_code1,rule_code2,..." Ignore all existing violations of a rule by adding `# noqa` directives to all lines that violate it,ruff check --select rule_code --add-noqa Perform edge-detection on a Netpbm image,pamedge path/to/input.pam > path/to/output.pam Run without arguments to use the interactive interface,fkill "Kill the process by PID, name or port",fkill pid|name|:port Create a new directory with the specified branch checked out into it,git worktree add path/to/directory branch Create a new directory with a new branch checked out into it,git worktree add path/to/directory -b new_branch List all the working directories attached to this repository,git worktree list Remove a worktree (after deleting worktree directory),git worktree prune Recreate the `texlive.tlpdb` database file and dump it to `stdout`,sudo tlmgr recreate-tlpdb Copy an image from source to target,crane copy source target Copy all tags,crane copy source target -a|--all-tags "Set the maximum number of concurrent copies, defaults to GOMAXPROCS",crane copy source target -j|--jobs int Avoid overwriting existing tags in target,crane copy source target -n|--no-clobber Display help,crane copy -h|--help Take multiple screenshots of multiple URLs at different resolutions,pageres https://example.com/ https://example2.com/ 1366x768 1600x900 "Provide specific options for a URL, overriding global options",pageres [https://example.com/ 1366x768 --no-crop] [https://example2.com/ 1024x768] --crop Provide a custom filename template,pageres https://example.com/ 1024x768 --filename='<%= date %> - <%= url %>' Capture a specific element on a page,pageres https://example.com/ 1366x768 --selector='.page-header' Hide a specific element,pageres https://example.com/ 1366x768 --hide='.page-header' Capture a screenshot of a local file,pageres local_file_path.html 1366x768 Generate and show an execution plan,terragrunt plan Build or change infrastructure,terragrunt apply Show current deployment (from state),terragrunt show Show module output values,terragrunt output Destroy Terraform-managed infrastructure,terragrunt destroy Build or change infrastructure from a tree of Terragrunt modules (stack),terragrunt run-all apply View documentation for the correct command,tldr umount Open a file,more path/to/file Display a specific line,more +line_number path/to/file Go to the next page, Search for a string (press `n` to go to the next match),/something Exit,q Display help about interactive commands,h Start an interactive `yacas` session,yacas "While in a `yacas` session, execute a statement",Integrate(x)Cos(x); "While in a `yacas` session, display an example",Example(); Quit from a `yacas` session,quit "Execute one or more `yacas` scripts (without terminal or prompts), then exit",yacas -p -c path/to/script1 path/to/script2 "Execute and print the result of one statement, then exit","echo ""Echo( Deriv(x)Cos(1/x) );"" | yacas -p -c /dev/stdin" List mail,from Display the number of messages stored,from --count List mail in the specified mailbox directory,MAIL=path/to/mailbox from Print the mail from the specified address,from --sender=me@example.com Generate an auto login link for the admin user and print it,plesk login Show product version information,plesk version List all hosted domains,plesk bin domain --list Start watching for changes in the `panel.log` file,plesk log panel.log Start the interactive MySQL console,plesk db Open the Plesk main configuration file in the default editor,plesk conf panel.ini Initialize repo to use Git Crypt,yadm git-crypt init Share the repository using GPG,yadm git-crypt add-gpg-user user_id "After cloning a repository with encrypted files, unlock them",yadm git-crypt unlock Export a symmetric secret key,yadm git-crypt export-key path/to/key_file List all installed modules,medusa -d Show usage example of a specific module (use `medusa -d` for listing all installed modules),medusa -M ssh|http|web-form|postgres|ftp|mysql|... -q Execute brute force against an FTP server using a file containing usernames and a file containing passwords,medusa -M ftp -h host -U path/to/username_file -P path/to/password_file "Execute a login attempt against an HTTP server using the username, password and user-agent specified","medusa -M HTTP -h host -u username -p password -m USER-AGENT:""Agent""" Execute a brute force against a MySQL server using a file containing usernames and a hash,medusa -M mysql -h host -U path/to/username_file -p hash -m PASS:HASH Execute a brute force against a list of SMB servers using a username and a pwdump file,medusa -M smbnt -H path/to/hosts_file -C path/to/pwdump_file -u username -m PASS:HASH Set the filesystem to be mutable,sudo steamos-readonly disable Set the filesystem to be read only,sudo steamos-readonly enable Launch the GUI,virt-manager Connect to a hypervisor,virt-manager --connect hypervisor_uri Don't fork virt-manager process into background on startup,virt-manager --no-fork Print debug output,virt-manager --debug "Open the ""New VM"" wizard",virt-manager --show-domain-creator Show domain details window for a specific virtual machine/container,virt-manager --show-domain-editor name|id|uuid Show domain performance window for a specific virtual machine/container,virt-manager --show-domain-performance name|id|uuid Show connection details window,virt-manager --show-host-summary View documentation for the original command,tldr az Add text to a PPM image at the specified location,ppmlabel -x pos_x -y pos_y -text text path/to/input_file.ppm > path/to/output_file.ppm Add multiple texts at different locations,ppmlabel -x pos_x1 -y pos_y1 -text text1 -x pos_x2 -y pos_y2 -text text2 path/to/input_file.ppm > path/to/output_file.ppm "Specify the line color, the background color, the tilt and the size of the added text",ppmlabel -x pos_x -y pos_y -color line_color -background background_color -angle tilt -size size -text text path/to/input_file.ppm > path/to/output_file.ppm View documentation for the original command,tldr mount.cifs Print a text message,"printf ""%s\n"" ""Hello world""" Print an integer in bold blue,"printf ""\e[1;34m%.3d\e[0m\n"" 42" Print a float number with the Unicode Euro sign,"printf ""\u20AC %.2f\n"" 123.4" Print a text message composed with environment variables,"printf ""var1: %s\tvar2: %s\n"" ""$VAR1"" ""$VAR2""" Store a formatted message in a variable (does not work on Zsh),"printf -v myvar ""This is %s = %d\n"" ""a year"" 2016" "Print a hexadecimal, octal and scientific number","printf ""hex=%x octal=%o scientific=%e"" 0xFF 0377 100000" Synchronize and update all packages (including AUR),yaourt -Syua Install a new package (includes AUR),yaourt -S package Remove a package and its dependencies (includes AUR packages),yaourt -Rs package Search the package database for a keyword (including AUR),yaourt -Ss query "List installed packages, versions, and repositories (AUR packages will be listed under the repository name 'local')",yaourt -Q Convert a raster dataset to JPEG format,gdal_translate -of JPEG path/to/input.tif path/to/output.jpeg Assign a projection to a raster dataset,gdal_translate -a_srs EPSG:4326 path/to/input.tif path/to/output.tif Reduce the size of a raster dataset to a specific fraction,gdal_translate -outsize 40% 40% path/to/input.tif path/to/output.tif Convert a GeoTiff to a Cloud Optimized GeoTiff,gdal_translate path/to/input.tif path/to/output.tif -of COG -co COMPRESS=LZW View the comments on a Zip archive,zipnote path/to/file.zip Extract the comments on a Zip archive to a file,zipnote path/to/file.zip > path/to/file.txt Add/Update comments in a Zip archive from a file,zipnote -w path/to/file.zip < path/to/file.txt Convert the specified PNG image to a Netpbm image,pngtopam path/to/image.png > path/to/output.pam Create an output image that includes both the main image and transparency mask of the input image,pngtopam -alphapam path/to/image.png > path/to/output.pam Replace transparent pixels by the specified color,pngtopam -mix -background color path/to/image.png > path/to/output.pam Write tEXt chunks found in the input image to the specified text file,pngtopam -text path/to/file.txt path/to/image.png > path/to/output.pam Compile source file(s) and create an executable,c99 file.c Compile source file(s) and specify the executable [o]utput filename,c99 -o executable_name file.c Compile source file(s) and create object file(s),c99 -c file.c "Compile source file(s), link with object file(s), and create an executable",c99 file.c file.o Wait for a message and display it when received,airpaste Send text,echo text | airpaste Send a file,airpaste < path/to/file Receive a file,airpaste > path/to/file Create or join a channel,airpaste channel_name Start Mosquitto,mosquitto Specify a configuration file to use,mosquitto --config-file path/to/file.conf Listen on a specific port,mosquitto --port 8883 Daemonize by forking into the background,mosquitto --daemon Run the calculator in interactive mode,eva Calculate the result of an expression,"eva ""(1 + 2) * 2 ^ 2""" Calculate an expression forcing the number of decimal places to 5,"eva --fix 5 ""5 / 3""" Calculate an expression with sine and cosine,"eva ""sin(1) + cos(1)""" Disassemble an assembly to textual CIL,monodis path/to/assembly.exe Save the output to a file,monodis --output=path/to/output.il path/to/assembly.exe Show information about an assembly,monodis --assembly path/to/assembly.dll List the references of an assembly,monodis --assemblyref path/to/assembly.exe List all the methods in an assembly,monodis --method path/to/assembly.exe List resources embedded within an assembly,monodis --manifest path/to/assembly.dll Extract all the embedded resources to the current directory,monodis --mresources path/to/assembly.dll Destroy all resources in the current stack,pulumi destroy Destroy all resources in a specific stack,pulumi destroy --stack stack Automatically approve and destroy resources after previewing,pulumi destroy --yes Exclude protected resources from being destroyed,pulumi destroy --exclude-protected Remove the stack and its configuration file after all resources in the stack are deleted,pulumi destroy --remove "Continue destroying the resources, even if an error is encountered",pulumi destroy --continue-on-error Create a Python virtual environment,python -m venv path/to/virtual_environment Activate the virtual environment (Linux and macOS),source path/to/virtual_environment/bin/activate Activate the virtual environment (Windows),path\to\virtual_environment\Scripts\activate.bat Deactivate the virtual environment,deactivate Start and navigate the current directory tree interactively,br Start displaying the size of files and directories,br --sizes Start displaying permissions,br --permissions Start displaying directories only,br --only-folders Start displaying hidden files and directories,br --hidden Copy files to the destination,install path/to/source_file1 path/to/source_file2 ... path/to/destination "Copy files to the destination, setting their ownership",install --owner user path/to/source_file1 path/to/source_file2 ... path/to/destination "Copy files to the destination, setting their group ownership",install --group user path/to/source_file1 path/to/source_file2 ... path/to/destination "Copy files to the destination, setting their `mode`",install --mode +x path/to/source_file1 path/to/source_file2 ... path/to/destination Copy files and apply access/modification times of source to the destination,install --preserve-timestamps path/to/source_file1 path/to/source_file2 ... path/to/destination Copy files and create the directories at the destination if they don't exist,install -D path/to/source_file1 path/to/source_file2 ... path/to/destination List all virtual machines,qm list "Using an ISO file uploaded on the local storage, create a virtual machine with a 4 GB IDE disk on the `local-lvm` storage and an ID of 100",qm create 100 -ide0 local-lvm:4 -net0 e1000 -cdrom local:iso/proxmox-mailgateway_2.1.iso "Show the configuration of a virtual machine, specifying its ID",qm config 100 Start a specific virtual machine,qm start 100 "Send a shutdown request, then wait until the virtual machine is stopped",qm shutdown 100 && qm wait 100 Destroy a virtual machine and remove all related resources,qm destroy 100 --purge List all containers (both running and stopped),podman ps --all "Create a container from an image, with a custom name",podman run --name container_name image Start or stop an existing container,podman start|stop container_name Pull an image from a registry (defaults to Docker Hub),podman pull image Display the list of already downloaded images,podman images Open a shell inside an already running container,podman exec --interactive --tty container_name sh Remove a stopped container,podman rm container_name Display the logs of one or more containers and follow log output,podman logs --follow container_name container_id Print a specific [c]haracter/[f]ield range of each line,"command | cut --characters|fields 1|1,10|1-10|1-|-10" Print a [f]ield range of each line with a specific [d]elimiter,"command | cut --delimiter "","" --fields 1" Print a [c]haracter range of each line of the specific file,cut --characters 1 path/to/file Print specific [f]ields of `NUL` terminated lines (e.g. as in `find . -print0`) instead of newlines,command | cut --zero-terminated --fields 1 Install one or more versions of Ruby,rvm install version1 version2 ... Display a list of installed versions,rvm list Use a specific version of Ruby,rvm use version Set the default Ruby version,rvm --default use version Upgrade a version of Ruby to a new version,rvm upgrade current_version new_version Uninstall a version of Ruby and keep its sources,rvm uninstall version Remove a version of Ruby and its sources,rvm remove version Show specific dependencies for your OS,rvm requirements Clone a package repository (requires setting an SSH key in your Arch Linux GitLab account),pkgctl repo clone pkgname Clone a package repository over HTTPS,pkgctl repo clone --protocol=https pkgname Create a new GitLab package repository and clone it after creation (requires valid GitLab API authentication),pkgctl repo create pkgbase Switch a package repository to a specified version,pkgctl repo switch version pkgbase Open a package repository's website,pkgctl repo web pkgbase "Read a PPM image from the input file, convert it to an Abekas YUV image and store it in the specified output file",ppmtoyuv path/to/input_file.ppm > path/to/output_file.yuv Print the output of a command to the default printer (see `lpstat` command),"echo ""test"" | lp" Print a file to the default printer,lp path/to/filename Print a file to a named printer (see `lpstat` command),lp -d printer_name path/to/filename Print N copies of file to default printer (replace N with desired number of copies),lp -n N path/to/filename "Print only certain pages to the default printer (print pages 1, 3-5, and 16)","lp -P 1,3-5,16 path/to/filename" Resume printing a job,lp -i job_id -H resume Import a VM from an OVF or OVA file,VBoxManage import path/to/file.ovf Set the name of the imported VM,VBoxManage import path/to/file.ovf --name vm_name Indicate the folder where the configuration of the imported VM will be stored,VBoxManage import path/to/file.ovf --basefolder path/to/directory Register the imported VM in VirtualBox,VBoxManage import path/to/file.ovf --register Perform a dry run to check the import without actually importing,VBoxManage import path/to/file.ovf --dry-run Set the guest OS type (one of `VBoxManage list ostypes`) for the imported VM,VBoxManage import path/to/file.ovf --ostype=ostype Set the memory (in megabytes) for the imported VM,VBoxManage import path/to/file.ovf --memory=1 Set the number of CPUs for the imported VM,VBoxManage import path/to/file.ovf --cpus=1 Display the prime-factorization of a number,factor number Take the input from `stdin` if no argument is specified,echo number | factor Remove a module from the kernel,sudo rmmod module_name Remove a module from the kernel and display verbose information,sudo rmmod --verbose module_name Remove a module from the kernel and send errors to syslog instead of `stderr`,sudo rmmod --syslog module_name Display help,rmmod --help Display version,rmmod --version Create a new application project in a new directory,quarkus create app project_name Run the current project in live coding mode,quarkus dev Run the application,quarkus run Run the current project in continuous testing mode,quarkus test Add one or more extensions to the current project,quarkus extension add extension_name1 extension_name2 ... Build a container image using Docker,quarkus image build docker Deploy the application to Kubernetes,quarkus deploy kubernetes Update project,quarkus update View documentation for the original command,tldr magick identify Compile and link 2 source files to generate an executable,tcc -o executable_name path/to/file1.c path/to/file2.c Directly run an input file like a script and pass arguments to it,tcc -run path/to/source_file.c arguments Interpret C source files with a shebang inside the file,#!/full/path/to/tcc -run Compare files or directories,delta path/to/old_file_or_directory path/to/new_file_or_directory "Compare files or directories, showing the line numbers",delta --line-numbers path/to/old_file_or_directory path/to/new_file_or_directory "Compare files or directories, showing the differences side by side",delta --side-by-side path/to/old_file_or_directory path/to/new_file_or_directory "Compare files or directories, ignoring any Git configuration settings",delta --no-gitconfig path/to/old_file_or_directory path/to/new_file_or_directory "Compare, rendering commit hashes, file names, and line numbers as hyperlinks, according to the hyperlink spec for terminal emulators",delta --hyperlinks path/to/old_file_or_directory path/to/new_file_or_directory Display the current settings,delta --show-config Display supported languages and associated file extensions,delta --list-languages "Show all peers on the bus, by their service names",busctl list "Show process information and credentials of a bus service, a process, or the owner of the bus (if no parameter is specified)",busctl status service|pid "Dump messages being exchanged. If no service is specified, show all messages on the bus",busctl monitor service1 service2 ... Show an object tree of one or more services (or all services if no service is specified),busctl tree service1 service2 ... "Show interfaces, methods, properties and signals of the specified object on the specified service",busctl introspect service path/to/object Retrieve the current value of one or more object properties,busctl get-property service path/to/object interface_name property_name Invoke a method and show the response,busctl call service path/to/object interface_name method_name Check the current directory,mh_lint Check a specific directory recursively,mh_lint path/to/directory Check a MATLAB file,mh_lint path/to/file.m Check an Octave file,mh_lint --octave path/to/file.m Start a REPL (interactive shell),carp Start a REPL with a custom prompt,"carp --prompt ""> """ Build a `carp` file,carp -b path/to/file.carp Build and run a file,carp -x path/to/file.carp Build a file with optimizations enabled,carp -b --optimize path/to/file.carp Transpile a file to C code,carp --generate-only path/to/file.carp Show why an `npm` package is installed,npm-why package Start the Bitcoin Core daemon (in the foreground),bitcoind Start the Bitcoin Core daemon in the background (use `bitcoin-cli stop` to stop),bitcoind -daemon Start the Bitcoin Core daemon on a specific network,bitcoind -chain=main|test|signet|regtest Start the Bitcoin Core daemon using specific config file and data directory,bitcoind -conf=path/to/bitcoin.conf -datadir=path/to/directory Run PhotoRec on a specific device,sudo photorec /dev/sdb Run PhotoRec on a disk image (`image.dd`),sudo photorec path/to/image.dd Display information about installed nest version,nest info Create a new NestJS project in a directory of the same name,nest new project_name Build a specific NestJS project,nest build project_name Run a specific NestJS project,nest start project_name Import a library into the current NestJS project,nest add library_name List all installed packages,equery list '*' Search for installed packages in the Portage tree and in overlays,equery list -po package1 package2 ... List all packages that depend on a given package,equery depends package List all packages that a given package depends on,equery depgraph package List all files installed by a package,equery files --tree package Convert a Netpbm image to a TrueVision Targa file,pamtotga path/to/file.pam > path/to/output.tga Specify the color map of the output image,pamtotga -cmap|cmap16|mono|rgb path/to/file.pam > path/to/output.tga Display version,pamtotga -version Run Ganache,ganache-cli Run Ganache with a specific number of accounts,ganache-cli --accounts=number_of_accounts Run Ganache and lock available accounts by default,ganache-cli --secure Run Ganache server and unlock specific accounts,"ganache-cli --secure --unlock ""account_private_key1"" --unlock ""account_private_key2""" Run Ganache with a specific account and balance,"ganache-cli --account=""account_private_key,account_balance""" Run Ganache with accounts with a default balance,ganache-cli --defaultBalanceEther=default_balance Run Ganache and log all requests to `stdout`,ganache-cli --verbose Install Bicep CLI,az bicep install Build a Bicep file,az bicep build --file path/to/file.bicep Attempt to decompile an ARM template file to a Bicep file,az bicep decompile --file path/to/template_file.json Upgrade Bicep CLI to the latest version,az bicep upgrade Display the installed version of Bicep CLI,az bicep version List all available versions of Bicep CLI,az bicep list-versions Uninstall Bicep CLI,az bicep uninstall Start an interactive shell session,tcsh Start an interactive shell session without loading startup configs,tcsh -f Execute specific [c]ommands,"tcsh -c ""echo 'tcsh is executed'""" Execute a specific script,tcsh path/to/script.tcsh Check a specific script for syntax errors,tcsh -n path/to/script.tcsh Execute specific commands from `stdin`,"echo ""echo 'tcsh is executed'"" | tcsh" Set policy to complain mode,sudo aa-complain path/to/profile1 path/to/profile2 ... Set policies to complain mode,sudo aa-complain --dir path/to/profiles Open a file in an existing Emacs server (using GUI if available),emacsclient path/to/file Open a file in console mode (without an X window),emacsclient --no-window-system path/to/file Open a file in a new Emacs window,emacsclient --create-frame path/to/file "Evaluate a command, printing the output to `stdout`, and then quit",emacsclient --eval '(command)' Specify an alternative editor in case no Emacs server is running,emacsclient --alternate-editor editor path/to/file "Stop a running Emacs server and all its instances, asking for confirmation on unsaved files",emacsclient --eval '(save-buffers-kill-emacs)' Analyze the current directory,progpilot Analyze a specific file or directory,progpilot path/to/file_or_directory Specify a custom configuration file,progpilot --configuration path/to/configuration.yml Update all installed toolchains and `rustup`,rustup update Install or update a specific toolchain (see `rustup help toolchain` for more information),rustup update toolchain List all supported import formats,assimp listext List all supported export formats,assimp listexport "Convert a file to one of the supported output formats, using the default parameters",assimp export input_file.stl output_file.obj Convert a file using custom parameters (the dox_cmd.h file in assimp's source code lists available parameters),assimp export input_file.stl output_file.obj parameters Display a summary of a 3D file's contents,assimp info path/to/file Display help,assimp help Display help for a specific subcommand,assimp subcommand --help Build an appliance,kiwi-ng system build --description=path/to/directory --target-dir=path/to/directory Show build result of built appliance,kiwi-ng result list --target-dir=path/to/directory Display help,kiwi-ng help Display version,kiwi-ng -v List API Management services within a resource group,az apim list --resource-group resource_group Create an API Management service instance,az apim create --name name --resource-group resource_group --publisher-email email --publisher-name name Delete an API Management service,az apim delete --name name --resource-group resource_group Show details of an API Management service instance,az apim show --name name --resource-group resource_group Update an API Management service instance,az apim update --name name --resource-group resource_group Generate documentation for Java source code and save the result in a directory,javadoc -d path/to/directory/ path/to/java_source_code Generate documentation with a specific encoding,javadoc -docencoding UTF-8 path/to/java_source_code Generate documentation excluding some packages,javadoc -exclude package_list path/to/java_source_code "View documentation for `frpc`, the `frp` client component",tldr frpc "View documentation for `frps`, the `frp` server component",tldr frps View documentation for the original command,tldr objdump Compile a standalone TeX/LaTeX file,tectonic -X compile path/to/file.tex Compile a standalone TeX/LaTeX file with synctex data,tectonic -X compile --synctex path/to/file.tex Initialize a tectonic project in the current directory,tectonic -X init Initialize a tectonic project in the specified directory,tectonic -X new project_name Build the project in the current directory,tectonic -X build Start a watcher to build the project in the current directory on change,tectonic -X watch Initialize a new PlatformIO project,pio project init Initialize a new PlatformIO project in a specific directory,pio project init --project-dir path/to/project_directory "Initialize a new PlatformIO project, specifying a board ID",pio project init --board ATmega328P|uno|... "Initialize a new PlatformIO based project, specifying one or more project options","pio project init --project-option=""option=value"" --project-option=""option=value""" Print the configuration of a project,pio project config Analyze one or more log files in interactive mode,goaccess path/to/logfile1 path/to/file2 ... "Use a specific log-format (or pre-defined formats like ""combined"")",goaccess path/to/logfile --log-format=format Analyze a log from `stdin`,tail -f path/to/logfile | goaccess - Analyze a log and write it to an HTML file in real-time,goaccess path/to/logfile --output path/to/file.html --real-time-html Start the daemon,bluetoothd "Start the daemon, logging to `stdout`",bluetoothd --nodetach Start the daemon with a specific configuration file (defaults to `/etc/bluetooth/main.conf`),bluetoothd --configfile path/to/file Start the daemon with verbose output to `stderr`,bluetoothd --debug Start the daemon with verbose output coming from specific files in the bluetoothd or plugins source,bluetoothd --debug=path/to/file1:path/to/file2:... Print Java stack traces for all threads in a Java process,jstack java_pid Print mixed mode (Java/C++) stack traces for all threads in a Java process,jstack -m java_pid Print stack traces from Java core dump,jstack /usr/bin/java file.core Copy a file to a remote host,rcp path/to/local_file username@remote_host:/path/to/destination/ Copy a directory recursively,rcp -r path/to/local_directory username@remote_host:/path/to/destination/ Preserve the file attributes,rcp -p path/to/local_file username@remote_host:/path/to/destination/ Force copy without a confirmation,rcp -f path/to/local_file username@remote_host:/path/to/destination/ Simulate typing text,"wtype ""Hello World""" Type a specific key,wtype -k Left Press a modifier,wtype -M shift|ctrl|... Release a modifier,wtype -m ctrl Wait between keystrokes (in milliseconds),"wtype -d 500 -- ""text""" Read text from `stdin`,"echo ""text"" | wtype -" Rename files using a Perl Common Regular Expression (substitute 'foo' with 'bar' wherever found),rename 's/foo/bar/' * Dry-run - display which renames would occur without performing them,rename -n 's/foo/bar/' * Force renaming even if the operation would remove existing destination files,rename -f 's/foo/bar/' * "Convert filenames to lower case (use `-f` in case-insensitive filesystems to prevent ""already exists"" errors)",rename 'y/A-Z/a-z/' * Replace whitespace with underscores,rename 's/\s+/_/g' * Send a file,wormhole send path/to/file Receive a file,wormhole receive wormhole_code Send raw text,wormhole send Check cluster health status,ceph status Check cluster usage stats,ceph df Get the statistics for the placement groups in a cluster,ceph pg dump --format plain Create a storage pool,ceph osd pool create pool_name page_number Delete a storage pool,ceph osd pool delete pool_name Rename a storage pool,ceph osd pool rename current_name new_name Self-repair pool storage,ceph pg repair pool_name Make an HTTP GET request and dump the contents in `stdout`,curl https://example.com "Make an HTTP GET request, fo[L]low any `3xx` redirects, and [D]ump the reply headers and contents to `stdout`",curl --location --dump-header - https://example.com "Download a file, saving the [O]utput under the filename indicated by the URL",curl --remote-name https://example.com/filename.zip Send form-encoded [d]ata (POST request of type `application/x-www-form-urlencoded`). Use `--data @file_name` or `--data @'-'` to read from `stdin`,curl -X POST --data 'name=bob' http://example.com/form "Send a request with an extra header, using a custom HTTP method and over a pro[x]y (such as BurpSuite), ignoring insecure self-signed certificates",curl -k --proxy http://127.0.0.1:8080 --header 'Authorization: Bearer token' --request GET|PUT|POST|DELETE|PATCH|... https://example.com "Send data in JSON format, specifying the appropriate Content-Type [H]eader","curl --data '{""name"":""bob""}' --header 'Content-Type: application/json' http://example.com/users/1234" "Pass client certificate and key for a resource, skipping certificate validation",curl --cert client.pem --key key.pem --insecure https://example.com "Resolve a hostname to a custom IP address, with [v]erbose output (similar to editing the `/etc/hosts` file for custom DNS resolution)",curl --verbose --resolve example.com:80:127.0.0.1 http://example.com Compile a PDF document,pdflatex source.tex Compile a PDF document specifying an output directory,pdflatex -output-directory=path/to/directory source.tex "Compile a PDF document, exiting on each error",pdflatex -halt-on-error source.tex "Attempt to extract streams from the URL specified, and if it's successful, print out a list of available streams to choose from",streamlink example.com/stream Open a stream with the specified quality,streamlink example.com/stream 720p60 Select the highest or lowest available quality,streamlink example.com/stream best|worst Use a specific player to feed stream data to (VLC is used by default if found),streamlink --player=mpv example.com/stream best "Skip a specific amount of time from the beginning of the stream. For live streams, this is a negative offset from the end of the stream (rewind)",streamlink --hls-start-offset [HH:]MM:SS example.com/stream best "Skip to the beginning of a live stream, or as far back as possible",streamlink --hls-live-restart example.com/stream best Write stream data to a file instead of playing it,streamlink --output path/to/file.ts example.com/stream best "Open the stream in the player, while at the same time writing it to a file",streamlink --record path/to/file.ts example.com/stream best Deliver a standard test email to `user@example.com` on port 25 of `test-server.example.net`,swaks --to user@example.com --server test-server.example.net "Deliver a standard test email, requiring CRAM-MD5 authentication as user `me@example.com`. An ""X-Test"" header will be added to the email body","swaks --to user@example.com --from me@example.com --auth CRAM-MD5 --auth-user me@example.com --header-X-Test ""test_email""" Test a virus scanner using EICAR in an attachment. Don't show the message DATA part,swaks -t user@example.com --attach - --server test-server.example.com --suppress-data path/to/eicar.txt "Test a spam scanner using GTUBE in the body of an email, routed via the MX records for `example.com`",swaks --to user@example.com --body path/to/gtube_file Deliver a standard test email to `user@example.com` using the LMTP protocol via a UNIX domain socket file,swaks --to user@example.com --socket /var/lda.sock --protocol LMTP Get the label of a FAT32 partition,fatlabel /dev/sda1 Set the label of a FAT32 partition,"fatlabel /dev/sdc3 ""new_label""" Encrypt a file for `user` and save it to `message.age`,"echo ""Your secret message"" | rage --encrypt --recipient user --output path/to/message.age" Decrypt a file with `identity_file` and save it to `message`,rage --decrypt --identity path/to/identity_file --output message Update `rustup`,rustup self update Uninstall `rustup`,rustup self uninstall Reject print jobs to the specified destinations,cupsreject destination1 destination2 ... Specify a different server,cupsreject -h server destination1 destination2 ... "Specify a reason string (""Reason Unknown"" by default)",cupsreject -r reason destination1 destination2 ... Search for a package in nixpkgs via its name,nix-env -qaP search_term_regexp Start a shell with the specified packages available,nix-shell -p pkg1 pkg2 pkg3... Install some packages permanently,nix-env -iA nixpkgs.pkg1 nixpkgs.pkg2... "Show all dependencies of a store path (package), in a tree format",nix-store --query --tree /nix/store/... Update the channels (repositories),nix-channel --update Remove unused paths from Nix store,nix-collect-garbage Save an image by redirecting `stdout` to a tar archive,docker save image:tag > path/to/file.tar Save an image to a tar archive,docker save --output path/to/file.tar image:tag Save all tags of the image,docker save --output path/to/file.tar image_name Cherry-pick particular tags of an image to save,docker save --output path/to/file.tar image_name:tag1 image_name:tag2 ... Start and watch the current directory,gow run . Start the application with the specified arguments,gow run . argument1 argument2 ... Watch subdirectories in verbose mode,"gow -v -w=path/to/directory1,path/to/directory2,... run ." Watch the specified file extensions,"gow -e=go,html run ." Display help,gow -h Compile resources referenced in `file.gresource.xml` to a .gresource binary,glib-compile-resources file.gresource.xml Compile resources referenced in `file.gresource.xml` to a C source file,glib-compile-resources --generate-source file.gresource.xml "Compile resources in `file.gresource.xml` to a chosen target file, with `.c`, `.h` or `.gresource` extension",glib-compile-resources --generate --target=file.ext file.gresource.xml Print a list of resource files referenced in `file.gresource.xml`,glib-compile-resources --generate-dependencies file.gresource.xml Show the utilization of the default AMD GPU,radeontop Enable colored output,radeontop --color Select a specific GPU (the bus number is the first number in the output of `lspci`),radeontop --bus bus_number Specify the display refresh rate (higher means more GPU overhead),radeontop --ticks samples_per_second Initialize Git LFS,git lfs install Track files that match a glob,git lfs track '*.bin' Change the Git LFS endpoint URL (useful if the LFS server is separate from the Git server),git config -f|--file .lfsconfig lfs.url lfs_endpoint_url List tracked patterns,git lfs track List tracked files that have been committed,git lfs ls-files Push all Git LFS objects to the remote server (useful if errors are encountered),git lfs push --all remote_name branch_name Fetch all Git LFS objects,git lfs fetch Checkout all Git LFS objects,git lfs checkout Download the daily offer book into the current directory with the specified book format (defaults to `pdf`),packtpub download --type pdf|ebup|mobi Download the daily offer book into the specified directory,packtpub download --dir path/to/directory Start an interactive login to packtpub.com,packtpub login Log out from packtpub.com,packtpub logout Display the daily offer,packtpub view-offer Open the daily offer in the default web browser,packtpub view-offer Display the currently logged-in user,packtpub whoami Show current cache [s]tatistics,ccache --show-stats [C]lear all cache,ccache --clear Reset ([z]ero) statistics (but not cache itself),ccache --zero-stats "Compile C code and cache compiled output (to use `ccache` on all `gcc` invocations, see the note above)",ccache gcc path/to/file.c Check for system updates to the host system,vso sys-upgrade check Upgrade the host system now,vso sys-upgrade upgrade --now Initialize the Pico subsystem (used for package management),vso pico-init Install applications inside the subsystem,vso install package1 package2 ... Remove applications from the subsystem,vso remove package1 package2 ... Enter the subsystem's shell,vso shell Run an application from the subsystem,vso run package Display VSO configuration,vso config show Add one or more devices to a btrfs filesystem,sudo btrfs device add path/to/block_device1 [path/to/block_device2] path/to/btrfs_filesystem Remove a device from a btrfs filesystem,sudo btrfs device remove path/to/device|device_id [...] Display error statistics,sudo btrfs device stats path/to/btrfs_filesystem Scan all disks and inform the kernel of all detected btrfs filesystems,sudo btrfs device scan --all-devices Display detailed per-disk allocation statistics,sudo btrfs device usage path/to/btrfs_filesystem Add the current node to an existing cluster,pvecm add hostname_or_ip Add a node to the cluster configuration (internal use),pvecm addnode node Display the version of the cluster join API available on this node,pvecm apiver Generate new cluster configuration,pvecm create clustername Remove a node from the cluster configuration,pvecm delnode node Display the local view of the cluster nodes,pvecm nodes Display the local view of the cluster status,pvecm status List wireless devices and their statuses,sudo airmon-ng Turn on monitor mode for a specific device,sudo airmon-ng start wlan0 Kill disturbing processes that use wireless devices,sudo airmon-ng check kill Turn off monitor mode for a specific network interface,sudo airmon-ng stop wlan0mon List all available plugins,asdf plugin list all Install a plugin,asdf plugin add name List all available versions for a package,asdf list all name Install a specific version of a package,asdf install name version Set global version for a package,asdf global name version Set local version for a package,asdf local name version Compare the working directory with a specific commit,git diff-index commit Compare a specific file or directory in working directory with a commit,git diff-index commit path/to/file_or_directory Compare the working directory with the index (staging area) to check for staged changes,git diff-index --cached commit Suppress output and return an exit status to check for differences,git diff-index --quiet commit Initialize a new .NET project,dotnet new template_short_name Restore NuGet packages,dotnet restore Build and execute the .NET project in the current directory,dotnet run "Run a packaged dotnet application (only needs the runtime, the rest of the commands require the .NET Core SDK installed)",dotnet path/to/application.dll Print the list of builtins,enable Disable a builtin (works in `bash` only),enable -n command Create an executable,gdc path/to/source.d -o path/to/output_executable Print information about module dependencies,gdc -fdeps Generate Ddoc documentation,gdc -fdoc Generate D interface files,gdc -fintfc Do not link the standard GCC libraries in the compilation,gdc -nostdlib Call a Move function from a package and module,"sui client ptb --move-call p::m::f """" args" Make a Move vector with two elements of type u64,"sui client ptb --make-move-vec """" ""[1000,2000]""" Split a gas coin and transfer it to address,"sui client ptb --split-coins gas ""[1000]"" --assign new_coins --transfer-objects ""[new_coins]"" @address" Transfer an object to an address,"sui client ptb --transfer-objects ""[object_id]"" @address" "Publish a Move package, and transfer the upgrade capability to sender","sui client ptb --move-call sui::tx_context::sender --assign sender --publish ""."" --assign upgrade_cap --transfer-objects ""[upgrade_cap]"" sender" Convert a text file to PO file,po4a-gettextize --format text --master path/to/master.txt --po path/to/result.po List all available formats,po4a-gettextize --help-format Convert a text file along with a translated document to a PO file (`-l` option can be provided multiple times),po4a-gettextize --format text --master path/to/master.txt --localized path/to/translated.txt --po path/to/result.po Remove an installed package,pkgrm package Display key-value pairs of all environment variables,printenv Display the value of a specific variable,printenv HOME Display the value of a variable and end with NUL instead of newline,printenv --null HOME Start WeeChat,weechat Do not load any plugin(s) on startup,weechat --no-plugin Do not load any script(s) on startup,weechat --no-script Do not connect to servers automatically,weechat --no-connect Write default terminal colors to `stdout`,weechat --colors Compile a binary crate,rustc path/to/main.rs Compile with optimizations (`s` means optimize for binary size; `z` is the same with even more optimizations),rustc -C lto -C opt-level=0|1|2|3|s|z path/to/main.rs Compile with debugging information,rustc -g path/to/main.rs Explain an error message,rustc --explain error_code Compile with architecture-specific optimizations for the current CPU,rustc -C target-cpu=native path/to/main.rs Display the target list (Note: you have to add a target using `rustup` first to be able to compile for it),rustc --print target-list Compile for a specific target,rustc --target target_triple path/to/main.rs Create a project,scrapy startproject project_name Create a spider (in project directory),scrapy genspider spider_name website_domain Edit spider (in project directory),scrapy edit spider_name Run spider (in project directory),scrapy crawl spider_name Fetch a webpage as Scrapy sees it and print the source to `stdout`,scrapy fetch url Open a webpage in the default browser as Scrapy sees it (disable JavaScript for extra fidelity),scrapy view url "Open Scrapy shell for URL, which allows interaction with the page source in a Python shell (or IPython if available)",scrapy shell url Compile the project in the current directory,zig build Compile and run the project in the current directory,zig build run Initialize a `zig build` application,zig init-exe Initialize a `zig build` library,zig init-lib Create and run a test build,zig test path/to/file.zig Reformat Zig source into canonical form,zig fmt path/to/file.zig Use Zig as a drop-in C compiler,zig cc path/to/file.c Use Zig as a drop-in C++ compiler,zig c++ path/to/file.cpp Print the starship integration code for the specified shell,starship init bash|elvish|fish|ion|powershell|tcsh|zsh|nu|xonsh|cmd Explain each part of the current prompt and show the time taken to render them,starship explain Print the computed starship configuration (use `--default` to print default configuration instead),starship print-config List supported modules,starship module --list Edit the starship configuration in the default editor,starship configure Create a bug report GitHub issue pre-populated with information about the system and starship configuration,starship bug-report Print the completion script for the specified shell,starship completions bash|elvish|fish|powershell|zsh Display help for a subcommand,starship subcommand --help Check dotfile status,tuckr status Add all dotfiles to system,tuckr add \* Add all dotfiles except specified programs,"tuckr add \* -e program1,program2" Remove all dotfiles from the system,tuckr rm \* Add a program dotfile and run its setup script,tuckr set program "Create databases, load the schema, and initialize with seed data",rails db:setup Access the database console,rails db Create the databases defined in the current environment,rails db:create Destroy the databases defined in the current environment,rails db:drop Run pending migrations,rails db:migrate View the status of each migration file,rails db:migrate:status Rollback the last migration,rails db:rollback Fill the current database with data defined in `db/seeds.rb`,rails db:seed View documentation for the original command,tldr pamdepth Launch the MOC terminal UI,mocp Launch the MOC terminal UI in a specific directory,mocp path/to/directory "Start the MOC server in the background, without launching the MOC terminal UI",mocp --server Add a specific song to the play queue while MOC is in the background,mocp --enqueue path/to/audio_file Add songs recursively to the play queue while MOC is in the background,mocp --append path/to/directory Clear the play queue while MOC is in the background,mocp --clear Play or stop the currently queued song while MOC is in the background,mocp --play|stop Stop the MOC server while it's in the background,mocp --exit Display information about a package,dpkg-deb --info path/to/file.deb Display the package's name and version on one line,dpkg-deb --show path/to/file.deb List the package's contents,dpkg-deb --contents path/to/file.deb Extract package's contents into a directory,dpkg-deb --extract path/to/file.deb path/to/directory Create a package from a specified directory,dpkg-deb --build path/to/directory "Compile a vala file, with gtk+",valac path/to/file.vala --pkg gtk+-3.0 Display help,valac --help Display version,valac --version Telnet to the default port of a host,telnet host Telnet to a specific port of a host,telnet ip_address port Exit a telnet session,quit Emit the default escape character combination for terminating the session, + ] "Start `telnet` with ""x"" as the session termination character",telnet -e x ip_address port Telnet to Star Wars animation,telnet towel.blinkenlights.nl List all Docker images,docker images List all Docker images including intermediates,docker images --all List the output in quiet mode (only numeric IDs),docker images --quiet List all Docker images not used by any container,docker images --filter dangling=true List images that contain a substring in their name,"docker images ""*name*""" Sort images by size,"docker images --format ""\{\{.ID\}\}\t\{\{.Size\}\}\t\{\{.Repository\}\}:\{\{.Tag\}\}"" | sort -k 2 -h" List the stacks in the app,cdk ls Synthesize and print the CloudFormation template for the specified stack(s),cdk synth stack_name Deploy one or more stacks,cdk deploy stack_name1 stack_name2 ... Destroy one or more stacks,cdk destroy stack_name1 stack_name2 ... Compare the specified stack with the deployed stack or a local CloudFormation template,cdk diff stack_name Create a new CDK project in the current directory for a specified [l]anguage,cdk init -l language Open the CDK API reference in your browser,cdk docs Run updates,topgrade Say yes to all updates,topgrade -y Cleanup temporary/old files,topgrade -c Disable a certain update operation,topgrade --disable operation Only perform a certain update operation,topgrade --only operation Edit the configuration file with default editor,topgrade --edit-config Run the server with a specific service name,"ippeveprinter ""service_name""" Load printer attributes from a PPD file,"ippeveprinter -P path/to/file.ppd ""service_name""" Run the `file` command whenever a job is sent to the server,"ippeveprinter -c /usr/bin/file ""service_name""" "Specify the directory that will hold the print files (by default, a directory under the user's temporary directory)","ippeveprinter -d spool_directory ""service_name""" Keep the print documents in the spool directory rather than deleting them,"ippeveprinter -k ""service_name""" Specify the printer speed in pages/minute unit (10 by default),"ippeveprinter -s speed ""service_name""" Convert a MDA file to a PBM image,mdatopbm path/to/image.mda > path/to/output.pbm Invert the colors in the input image,mdatopbm -i path/to/image.mda > path/to/output.pbm Double the input image's height,mdatopbm -d path/to/image.mda > path/to/output.pbm Start MySQL Shell in interactive mode,mysqlsh Connect to a MySQL server,mysqlsh --user username --host hostname --port port Execute an SQL statement on the server and exit,mysqlsh --user username --execute 'sql_statement' Start MySQL Shell in JavaScript mode,mysqlsh --js Start MySQL Shell in Python mode,mysqlsh --py Import JSON documents into a MySQL collection,mysqlsh --import path/to/file.json --schema schema_name --collection collection_name Enable verbose output,mysqlsh --verbose Initialize a `.fossa.yml` configuration file,fossa init Run a default project build,fossa build Analyze built dependencies,fossa analyze Generate reports,fossa report Test current revision against the FOSSA scan status and exit with errors if issues are found,fossa test Reinitialize the current terminal,reset Display the terminal type instead,reset -q Apply a manifest,puppet apply path/to/manifest Execute puppet code,puppet apply --execute code Use a specific module and hiera configuration file,puppet apply --modulepath path/to/directory --hiera_config path/to/file path/to/manifest Remove finished tasks and clear logs,pueue clean Only clean commands that finished successfully,pueue clean --successful-only Print a JSON representation of the default PipeWire instance's current state,pw-dump "Dump the current state [m]onitoring changes, printing it again",pw-dump --monitor Dump the current state of a [r]emote instance to a file,pw-dump --remote remote_name > path/to/dump_file.json Set a [C]olor configuration,pw-dump --color never|always|auto Display help,pw-dump --help Auto-format a file or an entire directory,stylua path/to/file_or_directory Check if a specific file has been formatted,stylua --check path/to/file Run with a specific configuration file,stylua --config-path path/to/config_file path/to/file Format code from `stdin` and output to `stdout`,stylua - < path/to/file.lua Format a file or directory using spaces and preferring single quotes,stylua --indent-type Spaces --quote-style AutoPreferSingle path/to/file_or_directory Launch the flowchart and diagram application,calligraflow Open a specific file,calligraflow path/to/file Display help or version,calligraflow --help|version "Delete a ref, useful for soft resetting the first commit",git update-ref -d HEAD Update ref with a message,git update-ref -m message HEAD 4e95e05 Open a shell in the current directory,psysh Open a shell in a specific directory,psysh --cwd path/to/directory Use a specific configuration file,psysh --config path/to/file Interactively search for and install a package,paru package_name_or_search_term Synchronize and update all packages,paru Upgrade AUR packages,paru -Sua Get information about a package,paru -Si package Download `PKGBUILD` and other package source files from the AUR or ABS,paru --getpkgbuild package Display the `PKGBUILD` file of a package,paru --getpkgbuild --print package "Print a JPEG, PNG, or GIF to the terminal",catimg path/to/file Double the [r]esolution of an image,catimg -r 2 path/to/file Disable 24-bit color for better [t]erminal support,catimg -t path/to/file Specify a custom [w]idth or [H]eight,catimg -w|-H 40 path/to/file Launch a program with altered priority,nice -niceness_value command Define the priority with an explicit option,nice -n|--adjustment niceness_value command View documentation for the original command,tldr clj Check the Pi-hole daemon's status,pihole status Update Pi-hole and Gravity,pihole -up Monitor detailed system status,pihole chronometer Start or stop the daemon,pihole enable|disable Restart the daemon (not the server itself),pihole restartdns Whitelist or blacklist a domain,pihole whitelist|blacklist example.com Search the lists for a domain,pihole query example.com Open a real-time log of connections,pihole tail Read an ICO file and convert the best quality image contained therein to the PAM format,winicontopam path/to/input_file.ico > path/to/output.pam Convert all images in the input file to PAM,winicontopam -allimages path/to/input_file.ico > path/to/output.pam Convert the n'th image in the input file to PAM,winicontopam -image n path/to/input_file.ico > path/to/output.pam "If the image(s) to be extracted contain graded transparency data and an AND mask, write the AND mask into the fifth channel of the output PAM file",winicontopam -andmasks path/to/input_file.ico > path/to/output.pam "Check filesystem, reporting any damaged blocks",sudo e2fsck /dev/sdXN Check filesystem and automatically repair any damaged blocks,sudo e2fsck -p /dev/sdXN Check filesystem in read only mode,sudo e2fsck -c /dev/sdXN "Perform an exhaustive, non-destructive read-write test for bad blocks and blacklist them",sudo e2fsck -fccky /dev/sdXN Show information about the sound server,pactl info List all sinks (or other types - sinks are outputs and sink-inputs are active audio streams),pactl list sinks short Change the default sink (output) to 1 (the number can be retrieved via the `list` subcommand),pactl set-default-sink 1 Move sink-input 627 to sink 1,pactl move-sink-input 627 1 Set the volume of sink 1 to 75%,pactl set-sink-volume 1 0.75 Toggle mute on the default sink (using the special name `@DEFAULT_SINK@`),pactl set-sink-mute @DEFAULT_SINK@ toggle "Install a package, automatically installing dependencies",raco pkg install --auto package_source Install the current directory as a package,raco pkg install "Build (or rebuild) bytecode, documentation, executables, and metadata indexes for collections",raco setup collection1 collection2 ... Run tests in files,raco test path/to/tests1.rkt path/to/tests2.rkt ... Search local documentation,raco docs search_terms ... Display help,raco help Pack the currently checked out commit into a Zip archive,git archive-file Turn up the master volume by 10%,amixer -D pulse sset Master 10%+ Turn down the master volume by 10%,amixer -D pulse sset Master 10%- "Generate a key pair, save it to an unencrypted file, and print the public key to `stdout`",age-keygen --output path/to/file Convert an identit[y] to a recipient and print the public key to `stdout`,age-keygen -y path/to/file Fill a fake flash drive with a single partition that matches its real capacity,sudo f3fix /dev/device_name Mark the partition as bootable,sudo f3fix --boot /dev/device_name Specify the filesystem,sudo f3fix --fs-type=filesystem_type /dev/device_name Optimize a file using the default plugins (overwrites the original file),svgo test.svg Optimize a file and save the result to another file,svgo test.svg -o test.min.svg Optimize all SVG files within a directory (overwrites the original files),svgo -f path/to/directory/with/svg/files Optimize all SVG files within a directory and save the resulting files to another directory,svgo -f path/to/input/directory -o path/to/output/directory "Optimize SVG content passed from another command, and save the result to a file",cat test.svg | svgo -i - -o test.min.svg Optimize a file and print out the result,svgo test.svg -o - Show available plugins,svgo --show-plugins "Compile and begin debugging the main package in the current directory (by default, with no arguments)",dlv debug Compile and begin debugging a specific package,dlv debug package arguments Compile a test binary and begin debugging the compiled program,dlv test Connect to a headless debug server,dlv connect ip_address Attach to a running process and begin debugging,div attach pid Compile and begin tracing a program,dlv trace package --regexp 'regular_expression' Display help,rustup help Display help for a subcommand,rustup help subcommand Clear QML cache,latte-dock --clear-cache Import and load default layout on startup,latte-dock --default-layout Load a specific layout on startup,latte-dock --layout layout_name Import and load a specific layout,latte-dock --import-layout path/to/file Compress executable,upx path/to/file Decompress executable,upx -d path/to/file Detailed help,upx --help Generate a shaded relief image with the input image interpreted as an elevation map,pamshadedrelief < path/to/input.pam > path/to/output.pam Gamma adjust the image by the specified factor,pamshadedrelief -gamma factor < path/to/input.pam > path/to/output.pam Open a file,nvim path/to/file Enter text editing mode (insert mode),i "Copy (""yank"") or cut (""delete"") the current line (paste it with `P`)",yy|dd Enter normal mode and undo the last operation,u Search for a pattern in the file (press `n`/`N` to go to next/previous match),/search_pattern Perform a regular expression substitution in the whole file,:%s/regular_expression/replacement/g "Enter normal mode and save (write) the file, and quit",ZZ|:x|:wq Quit without saving,:q! List all tags,git tag Create a tag with the given name pointing to the current commit,git tag tag_name Create a tag with the given name pointing to a given commit,git tag tag_name commit Create an annotated tag with the given message,git tag tag_name -m tag_message Delete the tag with the given name,git tag -d|--delete tag_name Get updated tags from remote,git fetch --tags Push a tag to remote,git push origin tag tag_name List all tags whose ancestors include a given commit,git tag --contains commit Output commands,yadm introspect commands Output configs,yadm introspect configs Output switches for the main `yadm` command,yadm introspect switches Output repo,yadm introspect repo "Fastest method in mashtree to create a tree from fastq and/or fasta files using multiple threads, piping into a newick file",mashtree --numcpus 12 *.fastq.gz *.fasta > mashtree.dnd "Most accurate method in mashtree to create a tree from fastq and/or fasta files using multiple threads, piping into a newick file",mashtree --mindepth 0 --numcpus 12 *.fastq.gz *.fasta > mashtree.dnd Most accurate method to create a tree with confidence values (note that any options for `mashtree` itself has to be on the right side of the `--`),mashtree_bootstrap.pl --reps 100 --numcpus 12 *.fastq.gz -- --min-depth 0 > mashtree.bootstrap.dnd Bind a raw character device to a block device,raw /dev/raw/raw1 /dev/block_device Query an existing binding instead of setting a new one,raw /dev/raw/raw1 Query all bound raw devices,raw -qa "Extract pages 1-3, 5 and 6-10 from a PDF file and save them as another one",pdftk input.pdf cat 1-3 5 6-10 output output.pdf Merge (concatenate) a list of PDF files and save the result as another one,pdftk file1.pdf file2.pdf ... cat output output.pdf "Split each page of a PDF file into a separate file, with a given filename output pattern",pdftk input.pdf burst output out_%d.pdf Rotate all pages by 180 degrees clockwise,pdftk input.pdf cat 1-endsouth output output.pdf Rotate third page by 90 degrees clockwise and leave others unchanged,pdftk input.pdf cat 1-2 3east 4-end output output.pdf Create specific files,touch path/to/file1 path/to/file2 ... Set the file [a]ccess or [m]odification times to the current one and don't [c]reate file if it doesn't exist,touch -c -a|m path/to/file1 path/to/file2 ... Set the file [t]ime to a specific value and don't [c]reate file if it doesn't exist,touch -c -t YYYYMMDDHHMM.SS path/to/file1 path/to/file2 ... "Set the files' timestamp to the [r]eference file's timestamp, and do not [c]reate the file if it does not exist",touch -c -r path/to/reference_file path/to/file1 path/to/file2 ... Create a file `y.tab.c` containing the C parser code and compile the grammar file with all necessary constant declarations for values. (Constant declarations file `y.tab.h` is created only when the `-d` flag is used),yacc -d path/to/grammar_file.y Compile a grammar file containing the description of the parser and a report of conflicts generated by ambiguities in the grammar,yacc -d path/to/grammar_file.y -v "Compile a grammar file, and prefix output filenames with `prefix` instead of `y`",yacc -d path/to/grammar_file.y -v -b prefix Query the system information of a remote host using SNMPv1 and a community string,snmpwalk -v1 -c community ip Query system information on a remote host by OID using SNMPv2 on a specified port,snmpwalk -v2c -c community ip:port oid Query system information on a remote host by OID using SNMPv3 and authentication without encryption,snmpwalk -v3 -l authNoPriv -u username -a MD5|SHA -A passphrase ip oid "Query system information on a remote host by OID using SNMPv3, authentication, and encryption",snmpwalk -v3 -l authPriv -u username -a MD5|SHA -A auth_passphrase -x DES|AES -X enc_passphrase ip oid Query system information on a remote host by OID using SNMPv3 without authentication or encryption,snmpwalk -v3 -l noAuthNoPriv -u username ip oid Create an empty temporary file and print its absolute path,mktemp "Use a custom directory if `$TMPDIR` is not set (the default is platform-dependent, but usually `/tmp`)",mktemp -p /path/to/tempdir Use a custom path template (`X`s are replaced with random alphanumeric characters),mktemp /tmp/example.XXXXXXXX Use a custom file name template,mktemp -t example.XXXXXXXX Create an empty temporary directory and print its absolute path,mktemp -d Create and authenticate a user with Google OIDC,dexter auth -i client_id -s client_secret Override the default kube configuration file location,dexter auth -i client_id -s client_secret --kube-config sample/config Compile a set of source code files into an executable binary,clang++ path/to/source1.cpp path/to/source2.cpp ... -o|--output path/to/output_executable Activate output of all errors and warnings,clang++ path/to/source.cpp -Wall -o|--output output_executable "Show common warnings, debug symbols in output, and optimize without affecting debugging",clang++ path/to/source.cpp -Wall -g|--debug -Og -o|--output path/to/output_executable Choose a language standard to compile for,clang++ path/to/source.cpp -std=c++20 -o|--output path/to/output_executable Include libraries located at a different path than the source file,clang++ path/to/source.cpp -o|--output path/to/output_executable -Ipath/to/header_path -Lpath/to/library_path -lpath/to/library_name Compile source code into LLVM Intermediate Representation (IR),clang++ -S|--assemble -emit-llvm path/to/source.cpp -o|--output path/to/output.ll Optimize the compiled program for performance,clang++ path/to/source.cpp -O1|2|3|fast -o|--output path/to/output_executable Display version,clang++ --version Limit an existing process with PID 1234 to only use 25% of the CPU,cpulimit --pid 1234 --limit 25% Limit an existing program by its executable name,cpulimit --exe program --limit 25 Launch a given program and limit it to only use 50% of the CPU,cpulimit --limit 50 -- program argument1 argument2 ... "Launch a program, limit its CPU usage to 50% and run cpulimit in the background",cpulimit --limit 50 --background -- program Kill its process if the program's CPU usage goes over 50%,cpulimit --limit 50 --kill -- program Throttle both it and its child processes so that none go about 25% CPU,cpulimit --limit 25 --monitor-forks -- program Create a database,sqlite-utils create-database path/to/database.db Create a table,sqlite-utils create-table path/to/database.db table_name id integer name text height float photo blob --pk id List tables,sqlite-utils tables path/to/database.db Upsert a record,"echo '[ {""id"": 1, ""name"": ""Linus Torvalds""}, {""id"": 2, ""name"": ""Steve Wozniak""}, {""id"": 3, ""name"": ""Tony Hoare""} ]' | sqlite-utils upsert path/to/database.db table_name - --pk id" Select records,sqlite-utils rows path/to/database.db table_name Delete a record,"sqlite-utils query path/to/database.db ""delete from table_name where name = 'Tony Hoare'""" Drop a table,sqlite-utils drop-table path/to/database.db table_name Display help,sqlite-utils -h Create `sources.list` using the lowest latency server,sudo netselect-apt "Specify Debian branch, stable is used by default",sudo netselect-apt testing Include non-free section,sudo netselect-apt --non-free Specify a country for the mirror list lookup,sudo netselect-apt -c India Compile and print all firewall rules,pve-firewall compile Show information about the local network,pve-firewall localnet Restart the Proxmox VE Firewall service,pve-firewall restart Start the Proxmox VE Firewall service,pve-firewall start Stop the Proxmox VE Firewall service,pve-firewall stop Simulate all firewall rules,pve-firewall simulate Show the status of Proxmox VE Firewall,pve-firewall status [a]rchive a file or directory,7zr a path/to/archive.7z path/to/file_or_directory Encrypt an existing archive (including file names),7zr a path/to/encrypted.7z -ppassword -mhe=on path/to/archive.7z E[x]tract an archive preserving the original directory structure,7zr x path/to/archive.7z E[x]tract an archive to a specific directory,7zr x path/to/archive.7z -opath/to/output E[x]tract an archive to `stdout`,7zr x path/to/archive.7z -so [l]ist the contents of an archive,7zr l path/to/archive.7z "Set the level of compression (higher means more compression, but slower)",7zr a path/to/archive.7z -mx=0|1|3|5|7|9 path/to/file_or_directory View documentation for the original command,tldr pamtopnm Show a short summary of a specific topic on Wikipedia,wikit topic Specify a [l]anguage (ISO 639-1 language code),wikit topic --lang language_code Open the full Wikipedia article in the default browser,wikit topic -b Open a disambiguation menu,wikit topic -d List local containers matching a string. Omit the string to list all local containers,lxc list match_string List images matching a string. Omit the string to list all images,lxc image list [remote:]match_string Create a new container from an image,lxc init [remote:]image container Start a container,lxc start [remote:]container Stop a container,lxc stop [remote:]container Show detailed info about a container,lxc info [remote:]container Take a snapshot of a container,lxc snapshot [remote:]container snapshot Execute a specific command inside a container,lxc exec [remote:]container command Compile a specific contract to hex,solcjs --bin path/to/file.sol Compile the ABI of a specific contract,solcjs --abi path/to/file.sol Specify a base path to resolve imports from,solcjs --bin --base-path path/to/directory path/to/file.sol Specify one or more paths to include containing external code,solcjs --bin --include-path path/to/directory path/to/file.sol Optimise the generated bytecode,solcjs --bin --optimize path/to/file.sol Display a list of installed modules,eselect View documentation for a specific module,tldr eselect module Display a help message for a specific module,eselect module help Join two files on the first (default) field,join path/to/file1 path/to/file2 Join two files using a comma (instead of a space) as the field separator,"join -t ',' path/to/file1 path/to/file2" Join field3 of file1 with field1 of file2,join -1 3 -2 1 path/to/file1 path/to/file2 Produce a line for each unpairable line for file1,join -a 1 path/to/file1 path/to/file2 Join a file from `stdin`,cat path/to/file1 | join - path/to/file2 Create a mask bitmap separating background from foreground,pbmmask path/to/image.pbm > path/to/output.pbm Expand the generated mask by one pixel,pbmmask -expand path/to/image.pbm > path/to/output.pbm "Connect to a local database on port 3306, using the current user's username",mycli database_name Connect to a database (user will be prompted for a password),mycli -u username database_name Connect to a database on another host,mycli -h database_host -P port -u username database_name List the names of installed packages,synopkg list --name List packages which depend on a specific package,synopkg list --depend-on package Start/Stop a package,sudo synopkg start|stop package Print the status of a package,synopkg status package Uninstall a package,sudo synopkg uninstall package Check if updates are available for a package,synopkg checkupdate package Upgrade all packages to the latest version,sudo synopkg upgradeall Install a package from a synopkg file,sudo synopkg install path/to/package.spk Tail all files matching a pattern in a single stream,multitail -Q 1 'pattern' Tail all files in a directory in a single stream,multitail -Q 1 'path/to/directory/*' Automatically add new files to a window,multitail -Q pattern Show 5 logfiles while merging 2 and put them in 2 columns with only one in the left column,"multitail -s 2 -sn 1,3 path/to/mergefile -I path/to/file1 path/to/file2 path/to/file3 path/to/file4" Pretend that a modified file is unchanged (`git status` will not show this as changed),git update-index --skip-worktree path/to/modified_file View documentation for the original command,tldr npm run Install a specific Node.js version,Install-NodeVersion node_version Install multiple Node.js versions,"Install-NodeVersion node_version1 , node_version2 , ..." Install latest available version of Node.js 20,Install-NodeVersion ^20 Install the x86 (x86 32-bit) / x64 (x86 64-bit) / arm64 (ARM 64-bit) version of Node.js,Install-NodeVersion node_version -Architecture x86|x64|arm64 Use a HTTP proxy to download Node.js,Install-NodeVersion node-version -Proxy http://example.com Validate an XML file against a specific schema,virt-xml-validate path/to/file.xml schema Validate the domain XML against the domain schema,virt-xml-validate path/to/domain.xml domain Display help,aws help List all available topics,aws help topics Display help about a specific topic,aws help topic_name Start Qt Creator,qtcreator Start Qt Creator and restore the last session,qtcreator -lastsession Start Qt Creator but don't load the specified plugin,qtcreator -noload plugin Start Qt Creator but don't load any plugins,qtcreator -noload all Start Qt Creator in presentation mode with pop-ups for keyboard shortcuts,qtcreator -presentationMode Start Qt Creator and show the diff from a specific commit,qtcreator -git-show commit List available packages,sdkmanager --list Install a package,sdkmanager package Update every installed package,sdkmanager --update Uninstall a package,sdkmanager --uninstall package Start the GUI,lmms Start the GUI and load external config,lmms --config path/to/config.xml Start the GUI and import MIDI or Hydrogen file,lmms --import path/to/midi/or/hydrogen/file Start the GUI with a specified window size,lmms --geometry x_sizexy_size+x_offset+y_offset Dump a `.mmpz` file,lmms dump path/to/mmpz/file.mmpz Render a project file,lmms render path/to/mmpz_or_mmp/file Render the individual tracks of a project file,lmms rendertracks path/to/mmpz_or_mmp/file path/to/dump/directory "Render with custom samplerate, format, and as a loop",lmms render --samplerate 88200 --format ogg --loop --output path/to/output/file.ogg Start `meld`,meld Compare 2 files,meld path/to/file_1 path/to/file_2 Compare 2 directories,meld path/to/directory_1 path/to/directory_2 Compare 3 files,meld path/to/file_1 path/to/file_2 path/to/file_3 Open a comparison as a new tab in a pre-existing meld instance,meld --newtab path/to/file_1 path/to/file_2 Compare multiple sets of files,meld --diff path/to/file_1 path/to/file_2 --diff path/to/file_3 path/to/file_4 Interactively create a new `wapm.toml` file,wapm init Download all the packages listed as dependencies in `wapm.toml`,wapm install Download a specific version of a package and add it to the list of dependencies in `wapm.toml`,wapm install package@version Download a package and install it globally,wapm install --global package Uninstall a package and remove it from the list of dependencies in `wapm.toml`,wapm uninstall package Print a tree of locally installed dependencies,wapm list List top-level globally installed packages,wapm list --global Execute a package command using the Wasmer runtime,wapm run command_name arguments Get quotes for currencies and stocks specified in a file and print them,gnucash-cli --quotes get path/to/file.gnucash "Generate a financial report of a specific type, specified by `--name`","gnucash-cli --report run --name ""Balance Sheet"" path/to/file.gnucash" Download videos/images from the specified [l]inks to URL or ID's of posts,bdfr download path/to/output_directory -l post_url Download the maximum possible number (roughly 1000) of videos/images from a specified [u]ser,bdfr download path/to/output_directory -u reddit_user --submitted "Download submission data (text, upvotes, comments, etc.) [L]imited to 10 submissions for each [s]ubreddit (30 total)","bdfr archive path/to/output_directory -s 'Python, all, mindustry' -L 10" "Download videos/images from the [s]ubreddit r/Python [S]orted by top (default is hot) using [t]ime filter all, [L]imited to 10 submissions",bdfr download path/to/output_directory -s Python -S top -t all -L 10 Download the maximum possible number of both submission data and videos/images from [s]ubreddit r/Python skipping over submissions with mp4 or gif file extensions and creating hard links for duplicate files,bdfr clone path/to/output_directory -s Python --skip mp4 --skip gif --make-hard-links "Download saved posts of the authenticated user, naming each file according to a specified format. Avoid downloading duplicates and posts already present in the output directory",bdfr download path/to/output_directory --user me --saved --authenticate --file-scheme ' {POSTID}_{TITLE}_{UPVOTES} ' --no-dupes --search-existing Output lines that are in both specified files,combine path/to/file1 and path/to/file2 Output lines that are in the first but not in the second file,combine path/to/file1 not path/to/file2 Output lines that in are in either of the specified files,combine path/to/file1 or path/to/file2 Output lines that are in exactly one of the specified files,combine path/to/file1 xor path/to/file2 Execute tests for a .NET project/solution in the current directory,dotnet test Execute tests for a .NET project/solution in a specific location,dotnet test path/to/project_or_solution Execute tests matching the given filter expression,dotnet test --filter Name~TestMethod1 Open a new window and connect to multiple SSH servers,mssh user@host1 user@host2 ... Open a new window and connect to a group of servers predefined in `~/.mssh_clusters`,mssh --alias alias_name Show all ID3v1 tags of a specific MP3 file,mp3info path/to/file.mp3 Edit ID3v1 tags interactively,mp3info -i path/to/file.mp3 Set values for ID3v1 tags in a specific MP3 file,"mp3info -a ""artist_name"" -t ""song_title"" -l ""album_title"" -y year -c ""comment_text"" path/to/file.mp3" Set the number of the track in the album for a specific MP3 file,mp3info -n track_number path/to/file.mp3 Print a list of valid genres and their numeric codes,mp3info -G Set the music genre for a specific MP3 file,mp3info -g genre_number path/to/file.mp3 List existing remotes with their names and URLs,git remote -v|--verbose Show information about a remote,git remote show remote_name Add a remote,git remote add remote_name remote_url Change the URL of a remote (use `--add` to keep the existing URL),git remote set-url remote_name new_url Show the URL of a remote,git remote get-url remote_name Remove a remote,git remote remove remote_name Rename a remote,git remote rename old_name new_name Import an existing patch from a file,quilt import path/to/filename.patch Create a new patch,quilt new filename.patch Add a file to the current patch,quilt add path/to/file "After editing the file, refresh the current patch with the changes",quilt refresh Apply all the patches in the series file,quilt push -a Remove all applied patches,quilt pop -a Start monitoring time in project,watson start project Start monitoring time in project with tags,watson start project +tag Stop monitoring time for the current project,watson stop Display the latest working sessions,watson log Edit most recent frame,watson edit Remove most recent frame,watson remove List trust policy store items,trust list List information about specific items in the trust policy store,trust list --filter=blocklist|ca-anchors|certificates|trust-policy Store a specific trust anchor in the trust policy store,trust anchor path/to/certificate.crt Remove a specific anchor from the trust policy store,trust anchor --remove path/to/certificate.crt Extract trust policy from the shared trust policy store,trust extract --format=x509-directory --filter=ca-anchors path/to/directory Display help for a subcommand,trust subcommand --help View documentation for the current command,tldr pamtopng Compile an executable,gnatmake source_file1.adb source_file2.adb ... Set a custom executable name,gnatmake -o executable_name source_file.adb [f]orce recompilation,gnatmake -f source_file.adb Start a runit service as the current user,runsv path/to/service Start a runit service as root,sudo runsv path/to/service Start a GUI to view and modify the state of Slurm,sview Show status of Wi-Fi,nmcli radio wifi Turn Wi-Fi on or off,nmcli radio wifi on|off Show status of WWAN,nmcli radio wwan Turn WWAN on or off,nmcli radio wwan on|off Show status of both switches,nmcli radio all Turn both switches on or off,nmcli radio all on|off Display information about all volume groups,sudo vgdisplay Display information about volume group vg1,sudo vgdisplay vg1 Stop a storage pool specified by name or UUID (determine using `virsh pool-list`),virsh pool-destroy --pool name|uuid List all attachments in a file with a specific text encoding,pdfdetach list -enc UTF-8 path/to/input.pdf Save specific embedded file by specifying its number,pdfdetach -save number path/to/input.pdf Save specific embedded file by specifying its name,pdfdetach -savefile name path/to/input.pdf Save the embedded file with a custom output filename,pdfdetach -save number -o path/to/output path/to/input.pdf Save the attachment from a file secured by owner/user password,pdfdetach -save number -opw|-upw password path/to/input.pdf Show logged-in users info,w Show logged-in users info without a header,w -h Validate an image,crane validate Skip downloading/digesting layers,crane validate --fast Name of remote image to validate,crane validate --remote image_name Path to tarball to validate,crane validate --tarball path/to/tarball Display help,crane validate -h|--help Create a new task,pixi task add task_name task_command List all tasks in the project,pixi task list Remove a task,pixi task remove task_name Create an alias for a task,pixi task alias alias_name task1 task2 ... Send the changes to Differential for review,arc diff Show pending revision information,arc list Update Git commit messages after review,arc amend Push Git changes,arc land Apply a patch,xdelta -d -s path/to/input_file path/to/delta_file.xdelta path/to/output_file Create a patch,xdelta -e -s path/to/old_file path/to/new_file path/to/output_file.xdelta Execute a VboxManage subcommand,VBoxManage subcommand Display help,VBoxManage --help Display help for a specific subcommand,VBoxManage --help clonevm|import|export|startvm|... Display version,VBoxManage --version Build a specific app,fdroid build app_id Build a specific app in a build server VM,fdroid build app_id --server Publish the app to the local repository,fdroid publish app_id Install the app on every connected device,fdroid install app_id Check if the metadata is formatted correctly,fdroid lint --format app_id Fix the formatting automatically (if possible),fdroid rewritemeta app_id Display the sound file metadata,soxi path/to/file.wav Start monitoring NetworkManager changes,nmcli monitor Show unstaged changes,git diff Show all uncommitted changes (including staged ones),git diff HEAD "Show only staged (added, but not yet committed) changes",git diff --staged "Show changes from all commits since a given date/time (a date expression, e.g. ""1 week 2 days"" or an ISO date)",git diff 'HEAD@{3 months|weeks|days|hours|seconds ago}' "Show diff statistics, like files changed, histogram, and total line insertions/deletions",git diff --stat commit "Output a summary of file creations, renames and mode changes since a given commit",git diff --summary commit Compare a single file between two branches or commits,git diff branch_1..branch_2 [--] path/to/file Compare different files from the current branch to other branch,git diff branch:path/to/file2 path/to/file Find out where your local DNS got the information on www.example.com,dnstracer www.example.com Start with a [s]pecific DNS that you already know,dnstracer -s dns.example.org www.example.com Only query IPv4 servers,dnstracer -4 www.example.com Retry each request 5 times on failure,dnstracer -r 5 www.example.com Display all steps during execution,dnstracer -v www.example.com Display an [o]verview of all received answers after execution,dnstracer -o www.example.com Create an empty local branch,git fresh-branch branch_name Search entries,keepassxc-cli search path/to/database_file name List the contents of a folder,keepassxc-cli ls path/to/database_file /path/to/directory Add an entry with an auto-generated password,keepassxc-cli add --generate path/to/database_file entry_name Delete an entry,keepassxc-cli rm path/to/database_file entry_name Copy an entry's password to the clipboard,keepassxc-cli clip path/to/database_file entry_name Copy a TOTP code to the clipboard,keepassxc-cli clip --totp path/to/database_file entry_name Generate a passphrase with 7 words,keepassxc-cli diceware --words 7 Generate a password with 16 printable ASCII characters,keepassxc-cli generate --lower --upper --numeric --special --length 16 Start i3 (Note that a pre-existing window manager must not be open when this command is run),i3 Open a new terminal window, + Create a new workspace, + + number Switch to workspace number `n`, + n Open new window horizontally, + h Open new window vertically, + v Open application (type out application name after executing command), + D Register your authentication token,expose token token Share the current working directory,expose Share the current working directory with a specific subdomain,expose --subdomain=subdomain Share a local URL,expose share url Run the Expose server,expose serve Run the Expose server with a specific hostname,expose serve hostname Display the contents of one or more files from a squashfs filesystem,sqfscat filesystem.squashfs file1 file2 ... View documentation for the original command,tldr rc Switch between different GPU modes,sudo envycontrol -s nvidia|integrated|hybrid Specify your display manager manually,envycontrol --dm Check current GPU mode,sudo envycontrol --query Reset settings,sudo envycontrol --reset Display help,envycontrol --help Display version,envycontrol --version Set up a device to boot with GRUB,grub-bios-setup /dev/sdX Install even if problems are detected,grub-bios-setup --force /dev/sdX Install GRUB in a specific directory,grub-bios-setup --directory=/boot/grub /dev/sdX Convert a PNM image to a DDIF image file,pnmtoddif path/to/image.pnm > path/to/image.ddif Explicitly specify the horizontal and vertical resolution of the output image,pnmtoddif -resolution horizontal_dpi vertical_dpi path/to/image.pnm > path/to/image.ddif Print the values of the pixels in the n'th row in a table,pamslice -row n path/to/image.pam Print the values of the pixels in the n'th column in a table,pamslice -column n path/to/image.pam Consider the m'th plane of the input image only,pamslice -row n -plane m path/to/image.pam Produce output in a format suitable for input to an `xmgr` for visualisation,pamslice -row n -xmgr path/to/image.pam Debug the dbt project and the connection to the database,dbt debug Run all models of the project,dbt run Run all tests of `example_model`,dbt test --select example_model "Build (load seeds, run models, snapshots, and tests associated with) `example_model` and its downstream dependents",dbt build --select example_model+ "Build all models, except the ones with the tag `not_now`","dbt build --exclude ""tag:not_now""" Build all models with tags `one` and `two`,"dbt build --select ""tag:one,tag:two""" Build all models with tags `one` or `two`,"dbt build --select ""tag:one tag:two""" Convert a HIPS file into a PGM image,hipstopgm path/to/file.hips Suppress all informational messages,hipstopgm -quiet Display version,hipstopgm -version Bundle the edges of one or more graph layouts (that already have layout information),mingle path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv "Perform layout, bundling, and output to a picture with one command",dot path/to/input.gv | mingle | dot -T png > path/to/output.png Display help,mingle -? Open a directory,xplr path/to/directory Focus on a file,xplr path/to/file Focus on a directory,xplr --force-focus path/to/directory Open a directory with specific files or directories selected,xplr path/to/directory path/to/selected_file_or_directory1 path/to/selected_file_or_directory2 Display AUR packages that match a regular expression,auracle search 'regular_expression' Display information about one or more AUR packages,auracle info package1 package2 ... Display the `PKGBUILD` file (build information) of one or more AUR packages,auracle show package1 package2 ... Display updates for installed AUR packages,auracle outdated Add a package to the project in the current directory,dotnet add package package Add a package to a specific project,dotnet add path/to/file.csproj package package Add a specific version of a package to the project,dotnet add package package --version 1.0.0 Add a package using a specific NuGet source,dotnet add package package --source https://api.nuget.org/v3/index.json Add a package only when targeting a specific framework,dotnet add package package --framework net7.0 Add and specify the directory where to restore packages (`~/.nuget/packages` by default),dotnet add package package --package-directory path/to/directory Cancel the current job of the default printer (set with `lpoptions -d {{printer}}`),cancel Cancel the jobs of the default printer owned by a specific [u]ser,cancel -u username Cancel the current job of a specific printer,cancel printer Cancel a specific job from a specific printer,cancel printer-job_id Cancel [a]ll jobs of all printers,cancel -a Cancel [a]ll jobs of a specific printer,cancel -a printer Cancel the current job of a specific server and then delete ([x]) job data files,cancel -h server -x Authenticate a user and obtain a ticket-granting ticket,kinit username Renew a ticket-granting ticket,kinit -R Specify a lifetime for the ticket,kinit -l 5h Specify a total renewable lifetime for the ticket,kinit -r 1w Specify a different principal name to authenticate as,kinit -p principal@REALM Specify a different keytab file to authenticate with,kinit -t path/to/keytab View documentation for the original command,tldr zstd Start SC-IM,scim path/to/file.csv Enter a string into the current cell,<|> Enter a numeric constant into the current cell,= Edit string in the current cell,E Edit number in the current cell,e Center align the current cell,| "Run a command on two hosts, and print its output on each server inline","pssh -i -H ""host1 host2"" hostname -i" Run a command and save the output to separate files,pssh -H host1 -H host2 -o path/to/output_dir hostname -i "Run a command on multiple hosts, specified in a new-line separated file",pssh -i -h path/to/hosts_file hostname -i Run a command as root (this asks for the root password),pssh -i -h path/to/hosts_file -A -l root_username hostname -i Run a command with extra SSH arguments,"pssh -i -h path/to/hosts_file -x ""-O VisualHostKey=yes"" hostname -i" Run a command limiting the number of parallel connections to 10,pssh -i -h path/to/hosts_file -p 10 'cd dir; ./script.sh; exit' Link your Mullvad account with the specified account number,mullvad account set account_number Enable LAN access while VPN is on,mullvad lan set allow Establish the VPN tunnel,mullvad connect Check status of VPN tunnel,mullvad status Power off the system,poweroff Halt the system (same as `halt`),poweroff --halt Reboot the system (same as `reboot`),poweroff --reboot Shut down immediately without contacting the system manager,poweroff --force Write the wtmp shutdown entry without shutting down the system,poweroff --wtmp-only Start the Apache daemon. Throw a message if it is already running,sudo apache2ctl start Stop the Apache daemon,sudo apache2ctl stop Restart the Apache daemon,sudo apache2ctl restart Test syntax of the configuration file,sudo apache2ctl -t List loaded modules,sudo apache2ctl -M Interactively create a new modpack in the current directory,packwiz init Add a mod from Modrinth or Curseforge,packwiz modrinth|curseforge add url|slug|search_term List all mods in the modpack,packwiz list Update `index.toml` after manually editing files,packwiz refresh Export as a Modrinth (`.mrpack`) or Curseforge (Zip) file,packwiz modrinth|curseforge export Display information about a Matroska file,mkvmerge --identify path/to/file.mkv Extract the audio from track 1 of a specific file,mkvextract tracks path/to/file.mkv 1:path/to/output.webm Extract the subtitle from track 3 of a specific file,mkvextract tracks path/to/file.mkv 3:path/to/subs.srt Add a subtitle track to a file,mkvmerge --output path/to/output.mkv path/to/file.mkv path/to/subs.srt Remove specific directories,rmdir path/to/directory1 path/to/directory2 ... Remove specific nested directories recursively,rmdir -p path/to/directory1 path/to/directory2 ... Convert an image to WebP,img2webp path/to/image -o path/to/image.webp Install a package,dpkg -i path/to/file.deb Remove a package,dpkg -r package List installed packages,dpkg -l pattern List a package's contents,dpkg -L package List contents of a local package file,dpkg -c path/to/file.deb Find out which package owns a file,dpkg -S path/to/file "Purge an installed or already removed package, including configuration",dpkg -P package Display the current label and UUID of a swap area,swaplabel path/to/file Set the label of a swap area,swaplabel --label new_label path/to/file Set the UUID of a swap area (you can generate a UUID using `uuidgen`),swaplabel --uuid new_uuid path/to/file Apply settings (according to the actual power source),sudo tlp start Apply battery settings (ignoring the actual power source),sudo tlp bat Apply AC settings (ignoring the actual power source),sudo tlp ac Create a shell script that when executed extracts the given files from itself,shar path/to/file1 path/to/file2 ... > path/to/archive.sh Install pre-commit into your Git hooks,pre-commit install Run pre-commit hooks on all staged files,pre-commit run "Run pre-commit hooks on all files, staged or unstaged",pre-commit run --all-files Clean pre-commit cache,pre-commit clean Display an annotated skeleton configuration XML file,phpdox --skel Generate documentation for the current working directory,phpdox Generate documentation using a specific configuration file,phpdox --file path/to/phpdox.xml Only run the metadata collection process,phpdox --collector Only run the documentation generator process,phpdox --generator Connect to a specific instance at (password can be provided in prompt or configuration file),snowsql --accountname account --username username --dbname database --schemaname schema Connect to an instance specified by a specific configuration file (defaults to `~/.snowsql/config`),snowsql --config path/to/configuration_file Connect to the default instance using a token for multi-factor authentication,snowsql --mfa-passcode token Execute a single SQL query or SnowSQL command on the default connection (useful in shell scripts),snowsql --query 'query' Execute commands from a specific file on the default connection,snowsql --filename path/to/file.sql Index the reference genome,bwa index path/to/reference.fa Map single-end reads (sequences) to indexed genome using 32 [t]hreads and compress the result to save space,bwa mem -t 32 path/to/reference.fa path/to/read_single_end.fq.gz | gzip > path/to/alignment_single_end.sam.gz Map pair-end reads (sequences) to the indexed genome using 32 [t]hreads and compress the result to save space,bwa mem -t 32 path/to/reference.fa path/to/read_pair_end_1.fq.gz path/to/read_pair_end_2.fq.gz | gzip > path/to/alignment_pair_end.sam.gz Map pair-end reads (sequences) to the indexed genome using 32 [t]hreads with [M]arking shorter split hits as secondary for output SAM file compatibility in Picard software and compress the result,bwa mem -M -t 32 path/to/reference.fa path/to/read_pair_end_1.fq.gz path/to/read_pair_end_2.fq.gz | gzip > path/to/alignment_pair_end.sam.gz Map pair-end reads (sequences) to indexed genome using 32 [t]hreads with FASTA/Q [C]omments (e.g. BC:Z:CGTAC) appending to a compressed result,bwa mem -C -t 32 path/to/reference.fa path/to/read_pair_end_1.fq.gz path/to/read_pair_end_2.fq.gz | gzip > path/to/alignment_pair_end.sam.gz Create a random UUIDv4,uuidgen --random Create a UUIDv1 based on the current time,uuidgen --time Create a UUIDv5 of the name with a specified namespace prefix,uuidgen --sha1 --namespace @dns|@url|@oid|@x500 --name object_name Register the current repository in the user's list of repositories to daily have maintenance run,git maintenance register Start running maintenance on the current repository,git maintenance start Halt the background maintenance schedule for the current repository,git maintenance stop Remove the current repository from the user's maintenance repository list,git maintenance unregister Run a specific maintenance task on the current repository,git maintenance run --task=commit-graph|gc|incremental-repack|loose-objects|pack-refs|prefetch Rename all files with a certain extension to a different extension,"mmv ""*.old_extension"" ""#1.new_extension""" Copy `report6part4.txt` to `./french/rapport6partie4.txt` along with all similarly named files,"mmv -c ""report*part*.txt"" ""./french/rapport#1partie#2.txt""" Append all `.txt` files into one file,"mmv -a ""*.txt"" ""all.txt""" "Convert dates in filenames from ""M-D-Y"" format to ""D-M-Y"" format","mmv ""[0-1][0-9]-[0-3][0-9]-[0-9][0-9][0-9][0-9].txt"" ""#3#4-#1#2-#5#6#7#8.txt""" Render a single line of text as a PBM image,"pbmtext ""Hello World!"" > path/to/output.pbm" Render multiple lines of text as a PBM image,"echo ""Hello\nWorld!"" | pbmtext > path/to/output.pbm" Render text using a custom font supplied as a PBM file,"pbmtext -font path/to/font.pbm ""Hello World!"" > path/to/output.pbm" Specify the number of pixels between characters and lines,"echo ""Hello\nWorld!"" | pbmtext -space 3 -lspace 10 > path/to/output.pbm" Create a specification for a class,phpspec describe class_name "Run all specifications in the ""spec"" directory",phpspec run Run a single specification,phpspec run path/to/class_specification_file Run specifications using a specific configuration file,phpspec run -c path/to/configuration_file Run specifications using a specific bootstrap file,phpspec run -b path/to/bootstrap_file Disable code generation prompts,phpspec run --no-code-generation Enable fake return values,phpspec run --fake Pretty-print JSON or JSON-Lines data from `stdin` to `stdout`,cat file.json | jello Output a schema of JSON or JSON Lines data from `stdin` to `stdout` (useful for grep),cat file.json | jello -s Output all elements from arrays (or all the values from objects) in JSON or JSON-Lines data from `stdin` to `stdout`,cat file.json | jello -l Output the first element in JSON or JSON-Lines data from `stdin` to `stdout`,cat file.json | jello _[0] Output the value of a given key of each element in JSON or JSON-Lines data from `stdin` to `stdout`,cat file.json | jello '[i.key_name for i in _]' Output the value of multiple keys as a new JSON object (assuming the input JSON has the keys `key_name1` and `key_name2`),"cat file.json | jello '{""key1"": _.key_name1, ""key_name"": _.key_name2}'" Output the value of a given key to a string (and disable JSON output),"cat file.json | jello -r '""some text: "" + _.key_name'" Update packages to use new APIs,go fix packages Generate a man page for an executable,help2man executable "Specify the ""name"" paragraph in the man page",help2man executable --name name Specify the section for the man page (defaults to 1),help2man executable --section section Output to a file instead of `stdout`,help2man executable --output path/to/file Display help,help2man --help Create users and groups from a specific configuration file,systemd-sysusers path/to/file Process configuration files and print what would be done without actually doing anything,systemd-sysusers --dry-run path/to/file "Print the contents of all configuration files (before each file, its name is printed as a comment)",systemd-sysusers --cat-config "Display all bookmarks matching ""keyword"" and with ""privacy"" tag",buku keyword --stag privacy "Add bookmark with tags ""search engine"" and ""privacy""","buku --add https://example.com search engine, privacy" Delete a bookmark,buku --delete bookmark_id Open editor to edit a bookmark,buku --write bookmark_id "Remove ""search engine"" tag from a bookmark",buku --update bookmark_id --tag - search engine Start,atop Start and display memory consumption for each process,atop -m Start and display disk information,atop -d Start and display background process information,atop -c Start and display thread-specific resource utilization information,atop -y Start and display the number of processes for each user,atop -au Display help about interactive commands,? Initialize a new local repository,git init Initialize a repository with the specified name for the initial branch,git init --initial-branch=branch_name Initialize a repository using SHA256 for object hashes (requires Git version 2.29+),git init --object-format=sha256 "Initialize a barebones repository, suitable for use as a remote over SSH",git init --bare Create a mask of the background in a PAM image,pambackground path/to/image.pam > path/to/output.pam Check if the cert-manager API is ready,cmctl check api Check the status of a certificate,cmctl status certificate cert_name Create a new certificate request based on an existing certificate,cmctl create certificaterequest my-cr --from-certificate-file cert.yaml "Create a new certificate request, fetch the signed certificate, and set a maximum wait time",cmctl create certificaterequest my-cr --from-certificate-file cert.yaml --fetch-certificate --timeout 20m Interactively create the file `~/.config/aurvote` containing your AUR username and password,aurvote --configure Vote for one or more AUR packages,aurvote package1 package2 ... Unvote one or more AUR packages,aurvote --unvote package1 package2 ... Check if one or more AUR packages have already been voted,aurvote --check package1 package2 ... Display help,aurvote --help Add a table to the list of staged tables (stage a table),dolt add table Stage all tables,dolt add --all Print information about the Pulumi environment,pulumi about Print information about the Pulumi environment in JSON format,pulumi about --json Print information about the Pulumi environment of a specific stack,pulumi about --stack stack_name Display help,pulumi about --help View documentation for `gcc`,tldr gcc Traceroute to a host,traceroute example.com Disable IP address and host name mapping,traceroute -n example.com Specify wait time in seconds for response,traceroute --wait=0.5 example.com Specify number of queries per hop,traceroute --queries=5 example.com Specify size in bytes of probing packet,traceroute example.com 42 Determine the MTU to the destination,traceroute --mtu example.com Use ICMP instead of UDP for tracerouting,traceroute --icmp example.com Output the information in `warts` files as text,sc_warts2text path/to/file1.warts path/to/file2.warts ... Start `raspi-config`,sudo raspi-config Create an XFS filesystem inside partition 1 on a device (`X`),sudo mkfs.xfs /dev/sdX1 Create an XFS filesystem with a volume label,sudo mkfs.xfs -L volume_label /dev/sdX1 Download missing packages while compiling,texliveonfly source.tex Use a specific compiler (defaults to `pdflatex`),texliveonfly --compiler=compiler source.tex Use a custom TeX Live `bin` folder,texliveonfly --texlive_bin=path/to/texlive_bin source.tex Show all information,rustup show Show the active toolchain,rustup show active-toolchain Show the rustup data directory,rustup show home Open moe and create a backup file (file~) when saving edits,moe path/to/file Open a file as read-only,moe --read-only path/to/file Edit a file without creating backups,moe --no-backup path/to/file Edit a file ignoring case in searches,moe --ignore-case path/to/file Save and Quit, + X Sort a CSV file by column 9,csvsort -c 9 data.csv "Sort a CSV file by the ""name"" column in descending order",csvsort -r -c name data.csv "Sort a CSV file by column 2, then by column 4","csvsort -c 2,4 data.csv" Sort a CSV file without inferring data types,csvsort --no-inference -c columns data.csv "Start in the main menu screen, reading from the default journal file",hledger-ui Start with a different color theme,hledger-ui --theme terminal|greenterm|dark "Start in the balance sheet accounts screen, showing hierarchy down to level 3",hledger-ui --bs --tree --depth 3 "Start in this account's screen, showing cleared transactions, and reload on change",hledger-ui --register assets:bank:checking --cleared --watch "Read two journal files, and show amounts as current value when known",hledger-ui --file path/to/2024.journal --file path/to/2024-prices.journal --value now "Show the manual in Info format, if possible",hledger-ui --info Display help,hledger-ui --help Create a new identity,git bug user create Create a new bug,git bug add Push a new bug entry to a remote,git bug push Pull for updates,git bug pull List existing bugs,git bug ls Filter and sort bugs using a query,"git bug ls ""status:open sort:edit""" Search for bugs by text content,"git bug ls ""search_query"" baz" Change `stdin` buffer size to 512 KiB,stdbuf --input=512K command Change `stdout` buffer to line-buffered,stdbuf --output=L command Change `stderr` buffer to unbuffered,stdbuf --error=0 command Start a talk session with a user on the same machine,talk username "Start a talk session with a user on the same machine, who is logged in on tty3",talk username tty3 Start a talk session with a user on a remote machine,talk username@hostname Clear text on both terminal screens,+D Exit the talk session,+C Launch the file manager,dolphin Open specific directories,dolphin path/to/directory1 path/to/directory2 ... Open with specific files or directories selected,dolphin --select path/to/file_or_directory1 path/to/file_or_directory2 ... Open a new window,dolphin --new-window Open specific directories in split view,dolphin --split path/to/directory1 path/to/directory2 Launch the daemon (only required to use the D-Bus interface),dolphin --daemon Display help,dolphin --help Remove a medium,sudo urpmi.removemedia medium Remove all media,sudo urpmi.removemedia -a Remove media fuzz[y] matching on media names,sudo urpmi.removemedia -y keyword Open a compressed file,zmore path/to/file.txt.gz Display the next page of the file, Search for a pattern in the file (press `n` to go to next match),/regular_expression Exit,q Display interactive command help,h Interactively create a pull request,gh pr create "Create a pull request, determining the title and description from the commit messages of the current branch",gh pr create --fill Create a draft pull request,gh pr create --draft "Create a pull request specifying the base branch, title, and description","gh pr create --base base_branch --title ""title"" --body ""body""" Start opening a pull request in the default web browser,gh pr create --web Compile a source code file into an object file,mpicc -c path/to/file.c Link an object file and make an executable,mpicc -o executable path/to/object_file.o Compile and link source code in a single command,mpicc -o executable path/to/file.c List all bound commands and their hotkeys,bind -p|-P Query a command for its hotkey,bind -q command Bind a key,"bind -x '""key_sequence"":command'" List user defined bindings,bind -X Display help,help bind Send a file or directory,croc send path/to/file_or_directory Send a file or directory with a specific passphrase,croc send --code passphrase path/to/file_or_directory Receive a file or directory on receiving machine,croc passphrase Send and connect over a custom relay,croc --relay ip_to_relay send path/to/file_or_directory Receive and connect over a custom relay,croc --relay ip_to_relay passphrase Host a croc relay on the default ports,croc relay Display parameters and options for a croc command,croc send|relay --help Create a new project using one of the default templates,vue init webpack|webpack-simple|browserify|browserify-simple|simple project_name Create a new project using a local template,vue init path/to/template_directory project_name Create a new project using a template from GitHub,vue init username/repo project_name "Record a snippet in ""CD"" quality (finish with Ctrl-C when done)",arecord -vv --format=cd path/to/file.wav "Record a snippet in ""CD"" quality, with a fixed duration of 10 seconds",arecord -vv --format=cd --duration=10 path/to/file.wav Record a snippet and save it as an MP3 (finish with Ctrl-C when done),arecord -vv --format=cd --file-type raw | lame -r - path/to/file.mp3 List all sound cards and digital audio devices,arecord --list-devices Allow interactive interface (e.g. use space-bar or enter to play or pause),arecord --interactive Test your microphone by recording a 5 second sample and playing it back,arecord -d 5 test-mic.wav && aplay test-mic.wav && rm test-mic.wav "Recursively search starting in the current directory, ignoring case",ned --ignore-case --recursive '^[dl]og' . Search always showing colored output,ned --colors '^[dl]og' . Search never showing colored output,ned --colors=never '^[dl]og' . Search ignoring certain files,ned --recursive --exclude '*.htm' '^[dl]og' . Simple replace,ned 'dog' --replace 'cat' . Replace using numbered group references,ned 'the ([a-z]+) dog and the ([a-z]+) dog' --replace 'the $2 dog and the $1 dog' . Replace changing case,ned '([a-z]+) dog' --case-replacements --replace '\U$1\E! dog' --stdout . Preview results of a find and replace without updating the target files,ned '^[sb]ad' --replace 'happy' --stdout . Display version of all packages,pyats version check Display outdated packages,pyats version check --outdated Update packages to the most recent version,pyats version update Update or downgrade packages to a specific version,pyats version update version Bootstrap a new node,knife bootstrap fqdn_or_ip List all registered nodes,knife node list Show a node,knife node show node_name Edit a node,knife node edit node_name Edit a role,knife role edit role_name View a data bag,knife data bag show data_bag_name data_bag_item Upload a local cookbook to the Chef server,knife cookbook upload cookbook_name View documentation for the original command,tldr npm owner "Generate the PBM, PGM, or PNM image as output, for Windows or OS/2 BMP file as input",bmptopnm path/to/file.bmp Report contents of the BMP header to `stderr`,bmptopnm -verbose path/to/file.bmp Display version,bmptopnm -version Import your projects (this is necessary to enable project prompts),tod project import Quickly create a task with due date,tod --quickadd Buy more milk today Create a new task (you will be prompted for content and project),tod task create Create a task in a project,"tod task create --content ""Write more rust"" --project code" Get the next task for a project,tod task next Get your work schedule,tod task list --scheduled --project work Get all tasks for work,tod task list --project work Start the daemon in the background,colima start Create a configuration file and use it,colima start --edit Start and setup containerd (install `nerdctl` to use containerd via `nerdctl`),colima start --runtime containerd Start with Kubernetes (`kubectl` is required),colima start --kubernetes "Customize CPU count, RAM memory and disk space (in GiB)",colima start --cpu number --memory memory --disk storage_space Use Docker via Colima (Docker is required),colima start List containers with their information and status,colima list Show runtime status,colima status "Format a file to `stdout`, with a custom maximum line length",autopep8 path/to/file.py --max-line-length length "Format a file, displaying a diff of the changes",autopep8 --diff path/to/file Format a file in-place and save the changes,autopep8 --in-place path/to/file.py Recursively format all files in a directory in-place and save changes,autopep8 --in-place --recursive path/to/directory Automatically resize a filesystem,resize2fs /dev/sdXN "Resize the filesystem to a size of 40G, displaying a progress bar",resize2fs -p /dev/sdXN 40G Shrink the filesystem to its minimum possible size,resize2fs -M /dev/sdXN Show all environment variables,go env Show a specific environment variable,go env GOPATH Set an environment variable to a value,go env -w GOBIN=path/to/directory Reset an environment variable's value,go env -u GOBIN Increase/decrease the backlight by a specific percent count,backlight_control +|-5 Set the backlight strength to a specific percent count,backlight_control 90 Display help,backlight_control Declare a string variable with the specified value,"declare variable=""value""" Declare an integer variable with the specified value,"declare -i variable=""value""" Declare an array variable with the specified value,declare -a variable=(item_a item_b item_c) Declare an associative array variable with the specified value,declare -A variable=([key_a]=item_a [key_b]=item_b [key_c]=item_c) Declare a readonly string variable with the specified value,"declare -r variable=""value""" Declare a global variable within a function with the specified value,"declare -g variable=""value""" Open RainbowStream,rainbowstream "Show your timeline (optional number of tweets to display, default is 5)",home [num_of_last_tweets] Show profile of a given user,whois @user Tweet the message as-is,t message Retweet the tweet with given ID (ID is beside the time),rt tweet_id Favorite the tweet with given ID,fav tweet_id Perform a search for a given word (with or without hashtag),s word Delete one or more local and remote Git branches,git delete-branch branch_name1 branch_name2 ... View documentation for running the CUPS daemon,tldr cupsd View documentation for managing printers,tldr lpadmin View documentation for printing files,tldr lp "View documentation for checking status information about the current classes, jobs, and printers",tldr lpstat View documentation for cancelling print jobs,tldr lprm Show the currently selected Perl version and how it was selected,plenv version List all available installed Perl versions,plenv versions Set the global Perl version (used unless a local or shell version takes priority),plenv global version Set the local application-specific Perl version (used in the current directory and all directories below it),plenv local version Set the shell-specific Perl version (used for the current session only),plenv shell version Display help,plenv Display help for a command,plenv help command Print indices and names of all columns,csvcut -n data.csv Extract the first and third columns,"csvcut -c 1,3 data.csv" Extract all columns except the fourth one,csvcut -C 4 data.csv "Extract the columns named ""id"" and ""first name"" (in that order)","csvcut -c id,""first name"" data.csv" Copy the output from a command to the X11 primary selection area (clipboard),echo 123 | xclip Copy the output from a command to a given X11 selection area,echo 123 | xclip -selection primary|secondary|clipboard "Copy the output from a command to the system clipboard, using short notation",echo 123 | xclip -sel clip Copy the contents of a file into the system clipboard,xclip -sel clip input_file.txt Copy the contents of a PNG into the system clipboard (can be pasted in other programs correctly),xclip -sel clip -t image/png input_file.png Copy the user input in the console into the system clipboard,xclip -i Paste the contents of the X11 primary selection area to the console,xclip -o Paste the contents of the system clipboard to the console,xclip -o -sel clip Open a PDF file,xpdf path/to/file.pdf Open a specific page in a PDF file,xpdf path/to/file.pdf :page_number Open a compressed PDF file,xpdf path/to/file.pdf.tar Open a PDF file in fullscreen mode,xpdf -fullscreen path/to/file.pdf Specify the initial zoom,xpdf -z 75% path/to/file.pdf Specify the initial zoom at page width or full page,xpdf -z page|width path/to/file.pdf Compress a file into a new file with the `.lzo` suffix,lzop path/to/file Decompress a file,lzop -d path/to/file.lzo "Compress a file, while specifying the compression level. 0 = Worst, 9 = Best (Default level is 3)",lzop -level path/to/file List all availables queues,aws sqs list-queues Display the URL of a specific queue,aws sqs get-queue-url --queue-name queue_name Create a queue with specific attributes from a file in JSON format,aws sqs create-queue --queue-name queue_name --attributes file://path/to/attributes_file.json Send a specific message to a queue,"aws sqs send-message --queue-url https://sqs.region.amazonaws.com/queue_name --message-body ""message_body"" --delay-seconds delay --message-attributes file://path/to/attributes_file.json" Delete the specified message from a queue,aws sqs delete-message --queue-url https://queue_url --receipt-handle receipt_handle Delete a specific queue,aws sqs delete-queue --queue-url https://sqs.region.amazonaws.com/queue_name Delete all messages from the specified queue,aws sqs purge-queue --queue-url https://sqs.region.amazonaws.com/queue_name Enable a specific AWS account to send messages to queue,aws sqs add-permission --queue-url https://sqs.region.amazonaws.com/queue_name --label permission_name --aws-account-ids account_id --actions SendMessage Capture a screenshot and save it to the given path,maim path/to/screenshot.png Capture a screenshot of the selected region,maim --select path/to/screenshot.png Capture a screenshot of the selected region and save it in the clipboard (requires `xclip`),maim --select | xclip -selection clipboard -target image/png Capture a screenshot of the current active window (requires `xdotool`),maim --window $(xdotool getactivewindow) path/to/screenshot.png Write metadata located on device to a specific file,e2image /dev/sdXN path/to/image_file Print metadata located on device to `stdout`,e2image /dev/sdXN - Restore the filesystem metadata back to the device,e2image -I /dev/sdXN path/to/image_file Create a large raw sparse file with metadata at proper offsets,e2image -r /dev/sdXN path/to/image_file Create a QCOW2 image file instead of a normal or raw image file,e2image -Q /dev/sdXN path/to/image_file Open termusic to a specific directory. (It can be set permanently in `~/.config/termusic/config.toml`),termusic path/to/directory Disable showing the album cover for a specific file,termusic -c path/to/music_file Display help,termusic --help "Send mail (the content should be typed after the command, and ended with `Ctrl+D`)","mailx -s ""subject"" to_addr" Send mail with content passed from another command,"echo ""content"" | mailx -s ""subject"" to_addr" Send mail with content read from a file,"mailx -s ""subject"" to_addr < content.txt" Send mail to a recipient and CC to another address,"mailx -s ""subject"" -c cc_addr to_addr" Send mail specifying the sender address,"mailx -s ""subject"" -r from_addr to_addr" Send mail with an attachment,"mailx -a path/to/file -s ""subject"" to_addr" Generate an HTML report for a specific website and save it to a file in the current directory,lighthouse https://example.com Generate a JSON report and print it,lighthouse --output json https://example.com Generate a JSON report and save it to a specific file,lighthouse --output json --output-path path/to/file.json https://example.com Generate a report using the browser in headless mode without logging to `stdout`,"lighthouse --quiet --chrome-flags=""--headless"" https://example.com" "Generate a report, using the HTTP header key/value pairs in the specified JSON file for all requests",lighthouse --extra-headers=path/to/file.json https://example.com Generate a report for specific categories only,"lighthouse --only-categories=performance,accessibility,best-practices,seo,pwa https://example.com" Generate a report with device emulation and all throttling disabled,lighthouse --screenEmulation.disabled --throttling-method=provided --no-emulatedUserAgent https://example.com Display help,lighthouse --help Sequence from 1 to 10,seq 10 Every 3rd number from 5 to 20,seq 5 3 20 Separate the output with a space instead of a newline,"seq -s "" "" 5 3 20" Format output width to a minimum of 4 digits padding with zeros as necessary,"seq -f ""%04g"" 5 3 20" Create a 2-up PDF,pdfxup -o path/to/output.pdf path/to/input.pdf Create a PDF with 3 columns and 2 lines per page,pdfxup -x 3 -y 2 -o path/to/output.pdf path/to/input.pdf "Create a PDF in booklet mode (2-up, and pages are sorted to form a book when folded)",pdfxup -b -o path/to/output.pdf path/to/input.pdf Install a package,opkg install package Remove a package,opkg remove package Update the list of available packages,opkg update Upgrade one or more specific package(s),opkg upgrade package(s) Display information for a specific package,opkg info package List all the available packages,opkg list Generate a PBM file as output for a Xerox doodle brush file as input,brushtopbm path/to/file.brush Display version,brushtopbm -version Run a graphical program remotely and display it locally,waypipe ssh user@server program Open an SSH tunnel to run any program remotely and display it locally,waypipe ssh user@server Set the project property in the core section,gcloud config set project project_id Set the compute zone for future operations,gcloud config set compute/zone zone_name Disable prompting to make gcloud suitable for scripting,gcloud config set disable_prompts true View the list of properties currently in use,gcloud config list Unset a previously set property,gcloud config unset property_name Create a new configuration profile,gcloud config configurations create configuration_name Switch between different configuration profiles,gcloud config configurations activate configuration_name Make a package,makepkg Make a package and install its dependencies,makepkg --syncdeps "Make a package, install its dependencies then install it to the system",makepkg --syncdeps --install "Make a package, but skip checking the source's hashes",makepkg --skipchecksums Clean up work directories after a successful build,makepkg --clean Verify the hashes of the sources,makepkg --verifysource Generate and save the source information into `.SRCINFO`,makepkg --printsrcinfo > .SRCINFO Generate a random UUID,uuidd --random Generate a bulk number of random UUIDs,uuidd --random --uuids number_of_uuids "Generate a time-based UUID, based on the current time and MAC address of the system",uuidd --time List all available generators to destroy,rails destroy Destroy a model named Post,rails destroy model Post Destroy a controller named Posts,rails destroy controller Posts Destroy a migration that creates Posts,rails destroy migration CreatePosts Destroy a scaffold for a model named Post,rails destroy scaffold Post Set name of attribute for file,setfattr -n user.attribute_name path/to/file Set a user-defined value of an extended attribute on a file,"setfattr -n user.attribute_name -v ""value"" path/to/file" Remove a specific attribute of a file,setfattr -x user.attribute_name path/to/file Display the watchdog status,wdctl Display the watchdog status in a single line in key-value pairs,wdctl --oneline Display only specific watchdog flags (list is driver specific),wdctl --flags flag_list Convert an STL file to a GTS file,stl2gts < path/to/file.stl > path/to/file.gts Convert an STL file to a GTS file and revert face normals,stl2gts --revert < path/to/file.stl > path/to/file.gts Convert an STL file to a GTS file and do not merge vertices,stl2gts --nomerge < path/to/file.stl > path/to/file.gts Convert an STL file to a GTS file and display surface statistics,stl2gts --verbose < path/to/file.stl > path/to/file.gts Display help,stl2gts --help Initialize new module in current directory,go mod init moduleName Download modules to local cache,go mod download Add missing and remove unused modules,go mod tidy Verify dependencies have expected content,go mod verify Copy sources of all dependencies into the vendor directory,go mod vendor Retrieve information about a backup,gcloud sql backups describe backup_id --instance=instance_id Print the current status,sestatus Print the current states of all policy booleans,sestatus -b Print the current file and process contexts,sestatus -v [l]ist all connected Rockchip-based flash [d]evices,rkdeveloptool ld Initialize the device by forcing it to [d]ownload and install the [b]ootloader from the specified file,rkdeveloptool db path/to/bootloader.bin [u]pdate the boot[l]oader software with a new one,rkdeveloptool ul path/to/bootloader.bin "Write an image to a GPT-formatted flash partition, specifying the initial storage sector (usually `0x0` alias `0`)",rkdeveloptool wl initial_sector path/to/image.img Write to the flash partition by its user-friendly name,rkdeveloptool wlx partition_name path/to/image.img "[r]eset/reboot the [d]evice, exit from the Maskrom/Bootrom mode to boot into the selected flash partition",rkdeveloptool rd Calculate the SHA256 checksum for one or more files,sha256sum path/to/file1 path/to/file2 ... Calculate and save the list of SHA256 checksums to a file,sha256sum path/to/file1 path/to/file2 ... > path/to/file.sha256 Calculate a SHA256 checksum from `stdin`,command | sha256sum Read a file of SHA256 checksums and filenames and verify all files have matching checksums,sha256sum --check path/to/file.sha256 Only show a message for missing files or when verification fails,sha256sum --check --quiet path/to/file.sha256 "Only show a message when verification fails, ignoring missing files",sha256sum --ignore-missing --check --quiet path/to/file.sha256 Check known SHA256 checksum of a file,echo known_sha256_checksum_of_the_file path/to/file | sha256sum --check Open specific files,kate path/to/file1 path/to/file2 ... Open specific remote files,kate https://example.com/path/to/file1 https://example.com/path/to/file2 ... Create a new editor instance even if one is already open,kate --new Open a file with the cursor at the specific line,kate --line line_number path/to/file Open a file with the cursor at the specific line and column,kate --line line_number --column column_number path/to/file Create a file from `stdin`,cat path/to/file | kate --stdin Display help,kate --help Download a specific URI to a file,"aria2c ""url""" Download a file from a URI with a specific output name,"aria2c --out path/to/file ""url""" Download multiple different files in parallel,"aria2c --force-sequential false ""url1 url2 ...""" Download the same file from different mirrors and verify the checksum of the downloaded file,"aria2c --checksum sha-256=hash ""url1"" ""url2"" ""urlN""" Download the URIs listed in a file with a specific number of parallel downloads,aria2c --input-file path/to/file --max-concurrent-downloads number_of_downloads Download with multiple connections,"aria2c --split number_of_connections ""url""" FTP download with username and password,"aria2c --ftp-user username --ftp-passwd password ""url""" Limit download speed in bytes/s,"aria2c --max-download-limit speed ""url""" Display A (default) record from DNS for hostname(s),adig example.com Display extra [d]ebugging output,adig -d example.com Connect to a specific DNS [s]erver,adig -s 1.2.3.4 example.com Use a specific TCP port to connect to a DNS server,adig -T port example.com Use a specific UDP port to connect to a DNS server,adig -U port example.com Analyze text from `stdin`,echo His network looks good | alex --stdin Analyze all files in the current directory,alex Analyze a specific file,alex path/to/file.md Analyze all Markdown files except `example.md`,alex *.md !example.md Add a Java version to jEnv,jenv add path/to/jdk_home Display the current JDK version used,jenv version Display all managed JDKs,jenv versions Set the global JDK version,jenv global java_version Set the JDK version for the current shell session,jenv shell java_version Enable a jEnv plugin,jenv enable-plugin plugin_name Start the interactive graph plotting shell,gnuplot Plot the graph for the specified graph definition file,gnuplot path/to/definition.plt Set the output format by executing a command before loading the definition file,"gnuplot -e ""set output ""path/to/filename.png"" size 1024,768"" path/to/definition.plt" Persist the graph plot preview window after gnuplot exits,gnuplot --persist path/to/definition.plt "Convert file to a specific encoding, and print to `stdout`",iconv -f from_encoding -t to_encoding input_file "Convert file to the current locale's encoding, and output to a file",iconv -f from_encoding input_file > output_file List supported encodings,iconv -l View and set the wallpapers from a specific directory,nitrogen path/to/directory Set the wallpaper with automatic size settings,nitrogen --set-auto path/to/file Restore the previous wallpaper,nitrogen --restore Set an environment variable,export VARIABLE=value Append a pathname to the environment variable `PATH`,export PATH=$PATH:path/to/append Test the package found in the current directory,go test [v]erbosely test the package in the current directory,go test -v Test the packages in the current directory and all subdirectories (note the `...`),go test -v ./... Test the package in the current directory and run all benchmarks,go test -v -bench . Test the package in the current directory and run all benchmarks for 50 seconds,go test -v -bench . -benchtime 50s Test the package with coverage analysis,go test -cover Convert YUY2 bytes to PAM,yuy2topam -width value -height value path/to/file.yuy2 > path/to/file.pam Display logs and changes for recent commits,git whatchanged Display logs and changes for recent commits within the specified time frame,"git whatchanged --since=""2 hours ago""" Display logs and changes for recent commits for specific files or directories,git whatchanged path/to/file_or_directory Start `hardinfo`,hardinfo Print report to `stdout`,hardinfo -r Save report to HTML file,hardinfo -r -f html > hardinfo.html Play a beep,beep Play a beep that repeats,beep -r repetitions Play a beep at a specified frequency (Hz) and duration (milliseconds),beep -f frequency -l duration Play each new frequency and duration as a distinct beep,beep -f frequency -l duration -n -f frequency -l duration Play the C major scale,beep -f 262 -n -f 294 -n -f 330 -n -f 349 -n -f 392 -n -f 440 -n -f 494 -n -f 523 "Make an XML document canonical, preserving comments",xml canonic path/to/input.xml|URI > path/to/output.xml "Make an XML document canonical, removing comments",xml canonic --without-comments path/to/input.xml|URI > path/to/output.xml "Make XML exclusively canonical, using an XPATH from a file, preserving comments",xml canonic --exc-with-comments path/to/input.xml|URI path/to/c14n.xpath Display help,xml canonic --help Remove an API token from the local credential storage (located in `$CARGO_HOME/credentials.toml`),cargo logout Use the specified registry (registry names can be defined in the configuration - the default is ),cargo logout --registry name Download a profile,instaloader profile_name Download highlights,instaloader --highlights profile_name "Download posts with geotags (if available), suppressing any user interaction",instaloader --quiet --geotags profile_name Specify a user agent for HTTP requests,instaloader --user-agent user_agent profile_name Specify login info and download posts (useful for private profiles),instaloader --login username --password password profile_name Skip a target if the first downloaded file has been found (useful for updating Instagram archives),instaloader --fast-update profile_name Download stories and IGTV videos (login required),instaloader --login username --password password --stories --igtv profile_name Download all types of posts (login required),instaloader --login username --password password --stories --igtv --highlights profile_name Initialize a LUKS volume with a passphrase,cryptsetup luksFormat /dev/sdXY Initialize a LUKS volume with a keyfile,cryptsetup luksFormat /dev/sdXY path/to/keyfile Initialize a LUKS volume with a passphrase and set its label,cryptsetup luksFormat --label label /dev/sdXY "Read the default configuration file `/etc/named.conf`, read any initial data and listen for queries",named Read a custom configuration file,named -c path/to/named.conf "Use IPv4 or IPv6 only, even if the host machine is capable of utilising other protocols",named -4|-6 Listen for queries on a specific port instead of the default port 53,named -p port Run the server in the foreground and do not daemonize,named -f Replay a typescript at the speed it was recorded,scriptreplay path/to/timing_file path/to/typescript Replay a typescript at double the original speed,scriptreplay path/to/timingfile path/to/typescript 2 Replay a typescript at half the original speed,scriptreplay path/to/timingfile path/to/typescript 0.5 Move the process with a specific PID to the control group student in the CPU hierarchy,cgclassify -g cpu:student 1234 Move the process with a specific PID to control groups based on the `/etc/cgrules.conf` configuration file,cgclassify 1234 Move the process with a specific PID to the control group student in the CPU hierarchy. Note: The daemon of the service `cgred` does not change `cgroups` of the specific PID and its children (based on `/etc/cgrules.conf`),cgclassify --sticky -g cpu:/student 1234 Display the current time as reported by the hardware clock,hwclock Write the current software clock time to the hardware clock (sometimes used during system setup),hwclock --systohc Write the current hardware clock time to the software clock,hwclock --hctosys Produce an image containing thumbnails of the specified images in a grid,pnmindex path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pnm Specify the size of the (quadratic) thumbnails,pnmindex -size 50 path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pnm Specify the number of thumbnails per row,pnmindex -across 10 path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pnm Specify the maximum number of colors in the output,pnmindex -colors 512 path/to/input1.pnm path/to/input2.pnm ... > path/to/output.pnm Calculate the SHA224 checksum for one or more files,sha224sum path/to/file1 path/to/file2 ... Calculate and save the list of SHA224 checksums to a file,sha224sum path/to/file1 path/to/file2 ... > path/to/file.sha224 Calculate a SHA224 checksum from `stdin`,command | sha224sum Read a file of SHA224 sums and filenames and verify all files have matching checksums,sha224sum --check path/to/file.sha224 Only show a message for missing files or when verification fails,sha224sum --check --quiet path/to/file.sha224 "Only show a message when verification fails, ignoring missing files",sha224sum --ignore-missing --check --quiet path/to/file.sha224 Open the terminal with a title of `Example`,xterm -T Example Open the terminal in fullscreen mode,xterm -fullscreen Open the terminal with a dark blue background and yellow foreground (font color),xterm -bg darkblue -fg yellow "Open the terminal with 100 characters per line and 35 lines, in screen position x=200px, y=20px",xterm -geometry 100x35+200+20 Open the terminal using a Serif font and a font size equal to 20,xterm -fa 'Serif' -fs 20 View documentation for the original command,tldr gnmic subscribe Show all available variables and their values,sysctl -a Set a changeable kernel state variable,sysctl -w section.tunable=value Get currently open file handlers,sysctl fs.file-nr Get limit for simultaneous open files,sysctl fs.file-max Apply changes from `/etc/sysctl.conf`,sysctl -p "Enter the gitsome shell (optional), to enable autocompletion and interactive help for Git (and gh) commands",gitsome Setup GitHub integration with the current account,gh configure List notifications for the current account (as would be seen in ),gh notifications "List the current account's starred repos, filtered by a given search string","gh starred ""python 3""" View the recent activity feed of a given GitHub repository,gh feed tldr-pages/tldr "View the recent activity feed for a given GitHub user, using the default pager (e.g. `less`)",gh feed torvalds -p Check the style of a single file,pycodestyle file.py Check the style of multiple files,pycodestyle file1.py file2.py ... Show only the first occurrence of an error,pycodestyle --first file.py Show the source code for each error,pycodestyle --show-source file.py Show the specific PEP 8 text for each error,pycodestyle --show-pep8 file.py View documentation for the original command,tldr bundle Show all TCP/UDP/RAW/UNIX sockets,ss -a -t|-u|-w|-x "Filter TCP sockets by states, only/exclude",ss state/exclude bucket/big/connected/synchronized/... Show all TCP sockets connected to the local HTTPS port (443),ss -t src :443 Show all TCP sockets listening on the local 8080 port,ss -lt src :8080 Show all TCP sockets along with processes connected to a remote SSH port,ss -pt dst :ssh Show all UDP sockets connected on specific source and destination ports,ss -u 'sport == :source_port and dport == :destination_port' Show all TCP IPv4 sockets locally connected on the subnet 192.168.0.0/16,ss -4t src 192.168/16 Kill IPv4 or IPv6 Socket Connection with destination IP 192.168.1.17 and destination port 8080,ss --kill dst 192.168.1.17 dport = 8080 Create a table,"aws dynamodb create-table --table-name table_name --attribute-definitions AttributeName=S,AttributeType=S --key-schema AttributeName=S,KeyType=HASH --provisioned-throughput ReadCapacityUnits=5,WriteCapacityUnits=5" List all tables in the DynamoDB,aws dynamodb list-tables Get details about a specific table,aws dynamodb describe-table --table-name table_name Add an item to a table,"aws dynamodb put-item --table-name table_name --item '{""AttributeName"": {""S"": ""value""'" Retrieve an item from a table,"aws dynamodb get-item --table-name table_name --key '{""ID"": {""N"": ""1""'" Update an item in the table,"aws dynamodb update-item --table-name table_name --key '{""ID"": {""N"": ""1""' --update-expression ""SET Name = :n"" --expression-attribute-values '{"":n"": {""S"": ""Jane""'" Scan items in the table,aws dynamodb scan --table-name table_name Delete an item from the table,"aws dynamodb delete-item --table-name table_name --key '{""ID"": {""N"": ""1""'" Start the video editor,kdenlive Open a specific file,kdenlive path/to/file.kdenlive Use a specific path for an MLT environment,kdenlive --mlt-path path/to/directory Use a specific log level for an MLT environment,kdenlive --mlt-log verbose|debug Display help,kdenlive --help Display version,kdenlive --version Print a file to `stdout` (status and progress messages are sent to `stderr`),ippeveps path/to/file Print a file from `stdin` to `stdout`,wget -O - https://examplewebsite.com/file | ippeveps Start `gpg-tui`,gpg-tui Start `gpg-tui` with color and ASCII armored output,gpg-tui --style colored --armor Quit `gpg-tui`,q Interactively generate a new key,g Export the selected key,x Set the detail level for the selected key,1|2|3 Refresh `gpg-tui`,r Display help in `gpg-tui`,? Preview color scheme,wal --preview image.png Create color scheme,wal -i image.png Create a light color scheme,wal -i image.png -l Skip setting the desktop wallpaper,wal -i image.png -n Skip setting the terminal colors,wal -i image.png -s Restore the previously generated color scheme and wallpaper,wal -R Decompress a JPEG XL image to another format,djxl path/to/image.jxl path/to/output.ext Display an extremely detailed help page,djxl --help --verbose --verbose --verbose --verbose Delete all store paths unused by current generations of each profile,sudo nix-collect-garbage --delete-old Simulate the deletion of old store paths,sudo nix-collect-garbage --delete-old --dry-run Delete all store paths older than 30 days,sudo nix-collect-garbage --delete-older-than 30d Create a build,zapier build Disable smart file inclusion (will only include files required by `index.js`),zapier build --disable-dependency-detection Show extra debugging output,zapier build -d|--debug Create a fullscreen screenshot,mate-screenshot Create an active window screenshot,mate-screenshot --window Create a specific area screenshot,mate-screenshot --area Create a screenshot interactively,mate-screenshot --interactive Create a screenshot without borders,mate-screenshot --window --remove-border Create a screenshot with a specific effect,mate-screenshot --effect=shadow|border|none Create a screenshot with a specific delay in seconds,mate-screenshot --delay=5 Display username of the currently logged-in user,npm whoami Display username of the current user in the specific registry,npm whoami --registry=registry_url Install a specific version of Node.js,nodenv install version Display a list of available versions,nodenv install --list Use a specific version of Node.js across the whole system,nodenv global version Use a specific version of Node.js with a directory,nodenv local version Display the Node.js version for the current directory,nodenv version Display the location of a Node.js installed command (e.g. `npm`),nodenv which command Start a VNC server using a passwordfile,x0vncserver -display :0 -passwordfile path/to/file Start a VNC server using a specific port,x0vncserver -display :0 -rfbport port "List files and directories, one per line",lsd -1 "List all files and directories, including hidden ones, in the current directory",lsd -a List files and directories with trailing `/` added to directory names,lsd -F "List all files and directories in long format (permissions, ownership, size in human-readable format, and modification date)",lsd -lha "List files and directories in long format, sorted by size (descending)",lsd -lS "List files and directories in long format, sorted by modification date (oldest first)",lsd -ltr Only list directories,lsd -d */ Recursively list all directories in a tree format,lsd --tree -d Convert an RDF/XML document to Turtle,rapper -i rdfxml -o turtle path/to/file Count the number of triples in a Turtle file,rapper -i turtle -c path/to/file "List out system information, with either default settings or those specified in your configuration file",macchina Specify a custom configuration file path,macchina --config path/to/configuration_file "List system information, but lengthen uptime, shell and kernel output",macchina --long-uptime --long-shell --long-kernel Check for any errors/system failures encountered when trying to fetch system information,macchina --doctor List original artists of all the ASCII art,macchina --ascii-artists Check out a specific merge request,git mr mr_number Check out a merge request from a specific remote,git mr mr_number remote Checkout a merge request from its URL,git mr url Clean up old merge request branches,git mr clean List available news items with their numbers (all by default),eselect news list all|new Print the specified news items,eselect news read number1 number2 ... Print all unread news items,eselect news read Mark the specified news items as unread,eselect news unread number1 number2 ... Delete all read news items,eselect news purge Print the number of available news items (new by default),eselect news count all|new View documentation for the original command,tldr xzcmp Run in terminal,glances Run in web server mode to show results in browser,glances -w Run in server mode to allow connections from other Glances clients,glances -s Connect to a Glances server,glances -c hostname Require a password in (web) server mode,glances -s --password Start a REPL (interactive shell),python Execute a specific Python file,python path/to/file.py Execute a specific Python file and start a REPL,python -i path/to/file.py Execute a Python expression,"python -c ""expression""" Run the script of the specified library module,python -m module arguments Install a package using `pip`,python -m pip install package Interactively debug a Python script,python -m pdb path/to/file.py Start the built-in HTTP server on port 8000 in the current directory,python -m http.server Convert a PNM or PAM file into a Motif UIL icon file,pamtouil path/to/input.pnm|pam > path/to/output.uil Specify a prefix string to be printed in the output UIL file,pamtouil -name uilname path/to/input.pnm|pam > path/to/output.uil Update to the tip of the current branch,hg update Update to the specified revision,hg update --rev revision Update and discard uncommitted changes,hg update --clean Update to the last commit matching a specified date,hg update --date dd-mm-yyyy Start QJoyPad,qjoypad Start QJoyPad and look for devices in a specific directory,qjoypad --device=path/to/directory Start QJoyPad but don't show a system tray icon,qjoypad --notray Start QJoyPad and force the window manager to use a system tray icon,qjoypad --force-tray Force a running instance of QJoyPad to update its list of devices and layouts,qjoypad --update "Load the given layout in an already running instance of QJoyPad, or start QJoyPad using the given layout","qjoypad ""layout""" Remove one or more `toolbox` image,toolbox rmi image_name1 image_name2 ... Remove all `toolbox` images,toolbox rmi --all Force the removal of a `toolbox` image which is currently being used by a container (the container will be removed as well),toolbox rmi --force image_name Initialize `kde-builder`,kde-builder --initial-setup Compile a KDE component and its dependencies from the source,kde-builder component_name Compile a component without updating its local code and without compiling its [D]ependencies,kde-builder --no-src --no-include-dependencies component_name [r]efresh the build directories before compiling,kde-builder --refresh-build component_name Resume compilation from a specific dependency,kde-builder --resume-from=dependency_component component_name Run a component with a specified executable name,kde-builder --run executable_name Build all configured components,kde-builder Use system libraries in place of a component if it fails to build,kde-builder --no-stop-on-failure component_name Calculate the parent directory of a given path,dirname path/to/file_or_directory Calculate the parent directory of multiple paths,dirname path/to/file_or_directory1 path/to/file_or_directory2 ... Delimit output with a NUL character instead of a newline (useful when combining with `xargs`),dirname --zero path/to/file_or_directory1 path/to/file_or_directory2 ... Upgrade all outdated casks and formulae,brew upgrade Upgrade a specific formula/cask,brew upgrade formula|cask "Print what would be upgraded, but don't actually upgrade anything",brew upgrade --dry-run Start Sails,sails lift Create new Sails project,sails new projectName Generate Sails API,sails generate name Generate Sails Controller,sails generate controller name Generate Sails Model,sails generate model name Delete the configuration for the storage pool specified name or UUID (determine using `virsh pool-list`),virsh pool-undefine --pool name|uuid List files one per line,ls -1 "List [a]ll files, including hidden files",ls -a "List files with a trailing symbol to indicate file type (directory/, symbolic_link@, executable*, ...)",ls -F "List [a]ll files in [l]ong format (permissions, ownership, size, and modification date)",ls -la "List files in [l]ong format with size displayed using [h]uman-readable units (KiB, MiB, GiB)",ls -lh "List files in [l]ong format, sorted by [S]ize (descending) [R]ecursively",ls -lSR "List files in [l]ong format, sorted by [t]ime the file was modified and in [r]everse order (oldest first)",ls -ltr Only list [d]irectories,ls -d */ Install a PHP version globally,phpenv install version Refresh shim files for all PHP binaries known to `phpenv`,phpenv rehash List all installed PHP versions,phpenv versions Display the currently active PHP version,phpenv version Set the global PHP version,phpenv global version "Set the local PHP version, which overrides the global version",phpenv local version Unset the local PHP version,phpenv local --unset "Create a daily planner with specified language, lettercase (uppercase or lowercase) and year",yplan language lettercase year > path/to/file.tex Convert a PBM image to an Epson printer graphic,pbmtoepson path/to/image.pbm > path/to/output.epson Specify the printer protocol of the output,pbmtoepson -protocol escp9|escp path/to/image.pbm > path/to/output.epson Specify the horizontal DPI of the output,pbmtoepson -dpi 60|72|80|90|120|144|240 path/to/image.pbm > path/to/output.epson Create a torrent with 2048 KB as the piece size,transmission-create -o path/to/example.torrent --tracker tracker_announce_url --piecesize 2048 path/to/file_or_directory Create a private torrent with a 2048 KB piece size,transmission-create -p -o path/to/example.torrent --tracker tracker_announce_url --piecesize 2048 path/to/file_or_directory Create a torrent with a comment,transmission-create -o path/to/example.torrent --tracker tracker_url1 -c comment path/to/file_or_directory Create a torrent with multiple trackers,transmission-create -o path/to/example.torrent --tracker tracker_url1 --tracker tracker_url2 path/to/file_or_directory Display help page,transmission-create --help List available locales,eselect locale list Set the `LANG` environment variable in `/etc/profile.env` by name or index from the `list` command,eselect locale set name|index Display the value of `LANG` in `/etc/profile.env`,eselect locale show Install a package (see `pip install` for more install examples),pip install package Install a package to the user's directory instead of the system-wide default location,pip install --user package Upgrade a package,pip install --upgrade package Uninstall a package,pip uninstall package Save installed packages to file,pip freeze > requirements.txt Show installed package info,pip show package Install packages from a file,pip install --requirement requirements.txt Tell the kernel about the existence of the specified partition,addpart device partition start length Recursively detect and decode a string,"dcode ""NjM3YTQyNzQ1YTQ0NGUzMg==""" Rotate a string by the specified offset,"dcode -rot 11 ""spwwz hzcwo""" Rotate a string by all 26 possible offsets,"dcode -rot all ""bpgkta xh qtiitg iwpc sr""" Reverse a string,"dcode -rev ""hello world""" View documentation for the original command,tldr trash View documentation for the original command,tldr xz Find the processes that have a given file open,lsof path/to/file Find the process that opened a local internet port,lsof -i :port Only output the process ID (PID),lsof -t path/to/file List files opened by the given user,lsof -u username List files opened by the given command or process,lsof -c process_or_command_name "List files opened by a specific process, given its PID",lsof -p PID List open files in a directory,lsof +D path/to/directory Find the process that is listening on a local IPv6 TCP port and don't convert network or port numbers,lsof -i6TCP:port -sTCP:LISTEN -n -P Convert a graph from `gml` to `gv` format,gml2gv -o output.gv input.gml Convert a graph using `stdin` and `stdout`,cat input.gml | gml2gv > output.gv Display help,gml2gv -? Create a `package.json` file with default values (omit `--yes` to do it interactively),npm init -y|--yes Download all the packages listed as dependencies in `package.json`,npm install Download a specific version of a package and add it to the list of dependencies in `package.json`,npm install package_name@version Download the latest version of a package and add it to the list of dev dependencies in `package.json`,npm install package_name -D|--save-dev Download the latest version of a package and install it globally,npm install -g|--global package_name Uninstall a package and remove it from the list of dependencies in `package.json`,npm uninstall package_name List all locally installed dependencies,npm list List all top-level globally installed packages,npm list -g|--global --depth 0 Detect file(s) encoding according to the system's locale,enca path/to/file1 path/to/file2 ... "Detect file(s) encoding specifying a language in the POSIX/C locale format (e.g. zh_CN, en_US)",enca -L language path/to/file1 path/to/file2 ... Convert file(s) to a specific encoding,enca -L language -x to_encoding path/to/file1 path/to/file2 ... Create a copy of an existing file using a different encoding,enca -L language -x to_encoding < original_file > new_file Scale up a PAM image by an integer factor,pamstretch N path/to/image.pam > path/to/output.pam Scale up a PAM image by the specified factors in the horizontal and vertical directions,pamstretch -xscale XN -yscale YN path/to/image.pam > path/to/output.pam Log in to Azure,az login Manage azure subscription information,az account List all Azure Managed Disks,az disk list List all Azure virtual machines,az vm list Manage Azure Kubernetes Services,az aks Manage Azure Network resources,az network Start in interactive mode,az interactive Display help,az --help Write the MIT license to a file named `LICENSE`,licensor MIT > LICENSE Write the MIT license with a [p]laceholder copyright notice to a file named `LICENSE`,licensor -p MIT > LICENSE Specify a copyright holder named Bobby Tables,"licensor MIT ""Bobby Tables"" > LICENSE" Specify licence exceptions with a WITH expression,"licensor ""Apache-2.0 WITH LLVM-exception"" > LICENSE" List all available licenses,licensor --licenses List all available exceptions,licensor --exceptions List installed roles or collections,ansible-galaxy role|collection list Search for a role with various levels of verbosely (`-v` should be specified at the end),ansible-galaxy role search keyword -vvvvvv Install or remove role(s),ansible-galaxy role install|remove role_name1 role_name2 ... Create a new role,ansible-galaxy role init role_name Get information about a role,ansible-galaxy role info role_name Install or remove collection(s),ansible-galaxy collection install|remove collection_name1 collection_name2 ... Display help about roles or collections,ansible-galaxy role|collection -h|--help Run a command with a file lock as soon as the lock is not required by others,"flock path/to/lock.lock --command ""command""" "Run a command with a file lock, and exit if the lock doesn't exist","flock path/to/lock.lock --nonblock --command ""command""" "Run a command with a file lock, and exit with a specific error code if the lock doesn't exist","flock path/to/lock.lock --nonblock --conflict-exit-code error_code -c ""command""" Login to Argo CD server,argocd login --insecure --username user --password password argocd_server:port List applications,argocd app list Open the current directory in the default file explorer,xdg-open . Open a URL in the default browser,xdg-open https://example.com Open an image in the default image viewer,xdg-open path/to/image Open a PDF in the default PDF viewer,xdg-open path/to/pdf Display help,xdg-open --help Create a new user in the specified registry and save credentials to `.npmrc`,npm adduser --registry=registry_url Log in to a private registry with a specific scope,npm login --scope=@mycorp --registry=https://registry.mycorp.com Log out from a specific scope and remove the auth token,npm logout --scope=@mycorp Create a scoped package during initialization,npm init --scope=@foo --yes Register your API token,spark register token Display the currently registered API token,spark token Create a new Spark project,spark new project_name Create a new Spark project with Braintree stubs,spark new project_name --braintree Create a new Spark project with team-based billing stubs,spark new project_name --team-billing Interactively show the disk usage of the current directory,gdu Interactively show the disk usage of a given directory,gdu path/to/directory Interactively show the disk usage of all mounted disks,gdu --show-disks Interactively show the disk usage of the current directory but ignore some sub-directories,"gdu --ignore-dirs path/to/directory1,path/to/directory2,..." Ignore paths by regular expression,gdu --ignore-dirs-pattern '.*[abc]+' Ignore hidden directories,gdu --no-hidden "Only print the result, do not enter interactive mode",gdu --non-interactive path/to/directory Do not show the progress in non-interactive mode (useful in scripts),gdu --no-progress path/to/directory Run tests in the current directory. Note: Expects you to have a 'phpunit.xml',phpunit Run tests in a specific file,phpunit path/to/TestFile.php Run tests annotated with the given group,phpunit --group name Run tests and generate a coverage report in HTML,phpunit --coverage-html path/to/directory Start `calcurse` on interactive mode,calcurse Print the appointments and events for the current day and exit,calcurse --appointment Remove all local calcurse items and import remote objects,calcurse-caldav --init=keep-remote Remove all remote objects and push local calcurse items,calcurse-caldav --init=keep-local Copy local objects to the CalDAV server and vice versa,calcurse-caldav --init=two-way Display a description from a man page,whatis command Don't cut the description off at the end of the line,whatis --long command Display descriptions for all commands matching a glob,whatis --wildcard net* Search man page descriptions with a regular expression,whatis --regex 'wish[0-9]\.[0-9]' Display descriptions in a specific language,whatis --locale=en command Push an integration to Zapier,zapier push Disable smart file inclusion (will only include files required by `index.js`),zapier push --disable-dependency-detection Show extra debugging output,zapier push -d|--debug Get the properties of all the user limits,ulimit -a Get hard limit for the number of simultaneously opened files,ulimit -H -n Get soft limit for the number of simultaneously opened files,ulimit -S -n Set max per-user process limit,ulimit -u 30 To view a file,gs -dQUIET -dBATCH file.pdf Reduce PDF file size to 150 dpi images for reading on a e-book device,gs -dNOPAUSE -dQUIET -dBATCH -sDEVICE=pdfwrite -dPDFSETTINGS=/ebook -sOutputFile=output.pdf input.pdf Convert PDF file (pages 1 through 3) to an image with 150 dpi resolution,gs -dQUIET -dBATCH -dNOPAUSE -sDEVICE=jpeg -r150 -dFirstPage=1 -dLastPage=3 -sOutputFile=output_%d.jpg input.pdf Extract pages from a PDF file,gs -dQUIET -dBATCH -dNOPAUSE -sDEVICE=pdfwrite -sOutputFile=output.pdf input.pdf Merge PDF files,gs -dQUIET -dBATCH -dNOPAUSE -sDEVICE=pdfwrite -sOutputFile=output.pdf input1.pdf input2.pdf Convert from PostScript file to PDF file,gs -dQUIET -dBATCH -dNOPAUSE -sDEVICE=pdfwrite -sOutputFile=output.pdf input.ps Initialize a simple Java class,jbang init path/to/file.java Initialize a Java class (useful for scripting),jbang init --template=cli path/to/file.java Use `jshell` to explore and use a script and any dependencies in a REPL editor,jbang run --interactive Setup a temporary project to edit a script in an IDE,jbang edit --open=codium|code|eclipse|idea|netbeans|gitpod path/to/script.java Run a Java code snippet (Java 9 and later),"echo 'Files.list(Paths.get(""/etc"")).forEach(System.out::println);' | jbang -" Run command-line application,jbang path/to/file.java command arg1 arg2 ... Install a script on the user's `$PATH`,jbang app install --name command_name path/to/script.java Install a specific version of JDK to be used with `jbang`,jbang jdk install version Create an F2FS filesystem inside partition 1 on device b (`sdb1`),sudo mkfs.f2fs /dev/sdb1 Create an F2FS filesystem with a volume label,sudo mkfs.f2fs -l volume_label /dev/sdb1 Increase a volume's size to 120 GB,lvextend --size 120G logical_volume Increase a volume's size by 40 GB as well as the underlying filesystem,lvextend --size +40G -r logical_volume Increase a volume's size to 100% of the free physical volume space,lvextend --size +100%FREE logical_volume "Select pages 1, 2, 3 and 6 from a source document and write those to a destination document","cpdf path/to/source_document.pdf 1-3,6 -o path/to/destination_document.pdf" Merge two documents into a new one,cpdf -merge path/to/source_document_one.pdf path/to/source_document_two.pdf -o path/to/destination_document.pdf Show the bookmarks of a document,cpdf -list-bookmarks path/to/document.pdf "Split a document into ten-page chunks, writing them to `chunk001.pdf`, `chunk002.pdf`, etc",cpdf -split path/to/document.pdf -o path/to/chunk%%%.pdf -chunk 10 "Encrypt a document using 128bit encryption, providing `fred` as owner password and `joe` as user password",cpdf -encrypt 128bit fred joe path/to/source_document.pdf -o path/to/encrypted_document.pdf Decrypt a document using the owner password `fred`,cpdf -decrypt path/to/encrypted_document.pdf owner=fred -o path/to/decrypted_document.pdf Show the annotations of a document,cpdf -list-annotations path/to/document.pdf Create a new document from an existing one with additional metadata,cpdf -set-metadata path/to/metadata.xml path/to/source_document.pdf -o path/to/destination_document.pdf Run a command on node 0 with memory allocated on node 0 and 1,"numactl --cpunodebind=0 --membind=0,1 -- command command_arguments" Run a command on CPUs (cores) 0-4 and 8-12 of the current cpuset,"numactl --physcpubind=+0-4,8-12 -- command command_arguments" Run a command with its memory interleaved on all CPUs,numactl --interleave=all -- command command_arguments Check out a specific pull request,git pr pr_number Check out a pull request from a specific remote,git pr pr_number remote Check out a pull request from its URL,git pr url Clean up old pull request branches,git pr clean Set output column count to a specific value,img2txt --width=10 Set output line count to a specific value,img2txt --height=5 Set output font width to a specific value,img2txt --font-width=12 Set output font height to a specific value,img2txt --font-height=14 Set image brightness to a specific value,img2txt --brightness=2 View documentation for the original command,tldr xz Display metadata for a specific torrent,transmission-show path/to/file.torrent Generate a magnet link for a specific torrent,transmission-show --magnet path/to/file.torrent Query a torrent's trackers and print the current number of peers,transmission-show --scrape path/to/file.torrent Resolve a local service to its IPv4,avahi-resolve -4 --name service.local "Resolve an IP to a hostname, verbosely",avahi-resolve --verbose --address IP Start NetHogs as root (default device is `eth0`),sudo nethogs Monitor bandwidth on specific device,sudo nethogs device Monitor bandwidth on multiple devices,sudo nethogs device1 device2 Specify refresh rate,sudo nethogs -t seconds "Check filesystem `/dev/sdXN`, reporting any damaged blocks",sudo fsck /dev/sdXN "Check filesystem `/dev/sdXN`, reporting any damaged blocks and interactively letting the user choose to repair each one",sudo fsck -r /dev/sdXN "Check filesystem `/dev/sdXN`, reporting any damaged blocks and automatically repairing them",sudo fsck -a /dev/sdXN Remake the configuration file storing into a specific location,tlmgr generate --dest output_file Remake the configuration file using a local configuration file,tlmgr generate --localcfg local_configuration_file Run necessary programs after rebuilding configuration files,tlmgr generate --rebuild-sys Report node rebooted when daemon restarted (Used for testing purposes),slurmd -b Run the daemon with the given nodename,slurmd -N nodename Write log messages to the specified file,slurmd -L path/to/output_file Read configuration from the specified file,slurmd -f path/to/file Display help,slurmd -h "Generate an RSA private key of 2048 bits, saving it to a specific file",openssl genpkey -algorithm rsa -pkeyopt rsa_keygen_bits:2048 -out filename.key "Generate an elliptic curve private key using the curve `prime256v1`, saving it to a specific file",openssl genpkey -algorithm EC -pkeyopt ec_paramgen_curve:prime256v1 -out filename.key "Generate an `ED25519` elliptic curve private key, saving it to a specific file",openssl genpkey -algorithm ED25519 -out filename.key Convert a Netpbm image to the FITS format,pamtofits path/to/image.pam > path/to/output.fits Create an AWS cost and usage report definition from a JSON file,aws cur put-report-definition --report-definition file://path/to/report_definition.json List usage report definitions defined for the logged in account,aws cur describe-report-definitions Delete a usage report definition,aws cur --region aws_region delete-report-definition --report-name report "Compress a file with LZMA - slow compression, fast decompression",lrzip path/to/file Compress a file with BZIP2 - good middle ground for compression/speed,lrzip -b path/to/file "Compress with ZPAQ - extreme compression, but very slow",lrzip -z path/to/file "Compress with LZO - light compression, extremely fast decompression",lrzip -l path/to/file Compress a file and password protect/encrypt it,lrzip -e path/to/file Override the number of processor threads to use,lrzip -p 8 path/to/file Display the N largest packages on the system,dpigs --lines=N Use the specified file instead of the default dpkg [s]tatus file,dpigs --status=path/to/file Display the largest [S]ource packages of binary packages installed on the system,dpigs --source Display package sizes in [H]uman-readable format,dpigs --human-readable Display help,dpigs --help Label a pod,kubectl label pod pod_name key=value Update a pod label by overwriting the existing value,kubectl label --overwrite pod pod_name key=value Label all pods in the namespace,kubectl label pods --all key=value Label a pod identified by the pod definition file,kubectl label -f pod_definition_file key=value Remove the label from a pod,kubectl label pod pod_name key- "View chains, rules, packet/byte counters and line numbers for the filter table",sudo iptables --verbose --numeric --list --line-numbers Set chain [P]olicy rule,sudo iptables --policy chain rule [A]ppend rule to chain policy for IP,sudo iptables --append chain --source ip --jump rule [A]ppend rule to chain policy for IP considering [p]rotocol and port,sudo iptables --append chain --source ip --protocol tcp|udp|icmp|... --dport port --jump rule Add a NAT rule to translate all traffic from the `192.168.0.0/24` subnet to the host's public IP,sudo iptables --table nat --append POSTROUTING --source 192.168.0.0/24 --jump MASQUERADE [D]elete chain rule,sudo iptables --delete chain rule_line_number Log into a Gitea server,"tea login add --name ""name"" --url ""url"" --token ""token""" Display all repositories,tea repos ls Display a list of issues,tea issues ls Display a list of issues for a specific repository,"tea issues ls --repo ""repository""" Create a new issue,"tea issues create --title ""title"" --body ""body""" Display a list of open pull requests,tea pulls ls Open the current repository in a browser,tea open Insert an entity into a table,az storage entity insert --entity space_separated_key_value_pairs --table-name table_name --account-name storage_account_name --account-key storage_account_key Delete an existing entity from a table,az storage entity delete --partition-key partition_key --row-key row_key --table-name table_name --account-name storage_account_name --account-key storage_account_key Update an existing entity by merging its properties,az storage entity merge --entity space_separated_key_value_pairs --table-name table_name --account-name storage_account_name --account-key storage_account_key List entities which satisfy a query,az storage entity query --filter query_filter --table-name table_name --account-name storage_account_name --account-key storage_account_key Get an entity from the specified table,az storage entity show --partition-key partition_key --row-key row_key --table-name table_name --account-name storage_account_name --account-key storage_account_key Rename files using a Perl Common Regular Expression (substitute 'foo' with 'bar' wherever found),rename 's/foo/bar/' * Dry-run - display which renames would occur without performing them,rename -n 's/foo/bar/' * Force renaming even if the operation would remove existing destination files,rename -f 's/foo/bar/' * "Convert filenames to lower case (use `-f` in case-insensitive filesystems to prevent ""already exists"" errors)",rename 'y/A-Z/a-z/' * Replace whitespace with underscores,rename 's/\s+/_/g' * Log in to your Heroku account,heroku login Create a Heroku app,heroku create Show logs for an app,heroku logs --app app_name Run a one-off process inside a dyno (Heroku virtual machine),heroku run process_name --app app_name List dynos (Heroku virtual machines) for an app,heroku ps --app app_name Permanently destroy an app,heroku destroy --app app_name Set a specific login shell for the current user interactively,chsh Set a specific login [s]hell for the current user,chsh -s path/to/shell Set a login [s]hell for a specific user,chsh -s path/to/shell username "Run all tests defined in the CMake project, executing 4 jobs at a time in parallel",ctest -j4 --output-on-failure List available tests,ctest -N "Run a single test based on its name, or filter on a regular expression",ctest --output-on-failure -R '^test_name$' Share files or directories,airshare code path/to/file_or_directory1 path/to/file_or_directory2 ... Receive a file,airshare code Host a receiving server (use this to be able to upload files using the web interface),airshare --upload code Send files or directories to a receiving server,airshare --upload code path/to/file_or_directory1 path/to/file_or_directory2 ... Send files whose paths have been copied to the clipboard,airshare --file-path code Receive a file and copy it to the clipboard,airshare --clip-receive code Replicate an image to fill an area of the specified dimensions,pnmtile width height path/to/input.pnm > path/to/output.pnm "Stash current changes with a [m]essage, except new (untracked) files",git stash push --message optional_stash_message "Stash current changes, including new ([u]ntracked) files",git stash --include-untracked Interactively select [p]arts of changed files for stashing,git stash --patch "List all stashes (shows stash name, related branch and message)",git stash list Show the changes as a [p]atch between the stash (default is `stash@{0}`) and the commit back when stash entry was first created,git stash show --patch stash@{0} "Apply a stash (default is the latest, named stash@{0})",git stash apply optional_stash_name_or_commit Drop or apply a stash (default is stash@{0}) and remove it from the stash list if applying doesn't cause conflicts,git stash pop optional_stash_name Drop all stashes,git stash clear List all port labeling rules,sudo semanage port -l|--list List all user-defined port labeling rules without headings,sudo semanage port -l|--list -C|--locallist -n|--noheading Add a user-defined rule that assigns a label to a protocol-port pair,sudo semanage port -a|--add -t|--type ssh_port_t -p|--proto tcp 22000 Delete a user-defined rule using its protocol-port pair,sudo semanage port -d|--delete -p|--proto udp 11940 Display help,docker inspect "Display information about a container, image, or volume using a name or ID",docker inspect container|image|ID Display a container's IP address,docker inspect --format '\{\{range.NetworkSettings.Networks\}\}\{\{.IPAddress\}\}\{\{end\}\}' container Display the path to the container's log file,docker inspect --format='\{\{.LogPath\}\}' container Display the image name of the container,docker inspect --format='\{\{.Config.Image\}\}' container Display the configuration information as JSON,docker inspect --format='\{\{json .Config\}\}' container Display all port bindings,"docker inspect --format='\{\{range $p, $conf := .NetworkSettings.Ports\}\} \{\{$p\}\} -> \{\{(index $conf 0).HostPort\}\} \{\{end\}\}' container" Execute an Amass subcommand,amass intel|enum options Display help,amass -help Display help on an Amass subcommand,amass intel|enum -help Display version,amass -version "Redirect the IO streams (`stdout`, `stderr`, and `stdin`) of a Slurm job step to the current terminal",sattach jobid.stepid Use the current console's input as `stdin` to the specified task,sattach --input-filter task_number Only redirect `stdin`/`stderr` of the specified task,sattach --output|error-filter task_number Delete an existing group,sudo groupdel group_name "Generate a text report from a specific profiling file, on fibbo binary",pprof -top ./fibbo ./fibbo-profile.pb.gz Generate a graph and open it on a web browser,pprof -svg ./fibbo ./fibbo-profile.pb.gz Run pprof in interactive mode to be able to manually launch `pprof` on a file,pprof ./fibbo ./fibbo-profile.pb.gz Run a web server that serves a web interface on top of `pprof`,pprof -http=localhost:8080 ./fibbo ./fibbo-profile.pb.gz Fetch a profile from an HTTP server and generate a report,pprof http://localhost:8080/debug/pprof Download a pre-built Truffle project (Truffle Box),truffle unbox box_name Compile contract source files in the current directory,truffle compile Run JavaScript and Solidity tests,truffle test Run migrations to deploy contracts,truffle migrate Display help for a subcommand,truffle help subcommand Split Zip archive into parts that are no larger than 36000 bytes (36 MB),zipsplit path/to/archive.zip Use a given [n]umber of bytes as the part limit,zipsplit -n size path/to/archive.zip [p]ause between the creation of each part,zipsplit -p -n size path/to/archive.zip Output the smaller Zip archives into a given directory,zipsplit -b path/to/output_directory -n size path/to/archive.zip "Format output for a PostScript printer, saving the output to a file",groff path/to/input.roff > path/to/output.ps "Render a man page using the ASCII output device, and display it using a pager",groff -man -T ascii path/to/manpage.1 | less --RAW-CONTROL-CHARS Render a man page into an HTML file,groff -man -T html path/to/manpage.1 > path/to/manpage.html "Typeset a roff file containing [t]ables and [p]ictures, using the [me] macro set, to PDF, saving the output",groff -t -p -me -T pdf path/to/input.me > path/to/output.pdf Run a `groff` command with preprocessor and macro options guessed by the `grog` utility,"eval ""$(grog -T utf8 path/to/input.me)""" Chat with the default provider (GPT-3.5-turbo),"tgpt ""prompt""" Start [m]ulti-line interactive mode,tgpt --multiline Generate [i]mages and save them to the current directory,"tgpt --image ""prompt""" Generate [c]ode with the default provider (GPT-3.5-turbo),"tgpt --code ""prompt""" Chat with a specific provider [q]uietly (without animations),"tgpt --provider openai|opengpts|koboldai|phind|llama2|blackboxai --quiet --whole ""prompt""" Generate and execute [s]hell commands using a specific provider (with a confirmation prompt),"tgpt --provider llama2 --shell ""prompt""" "Prompt with an API key, model, max response length, temperature, and `top_p` (required when using `openai` provider)","tgpt --provider openai --key ""api_key"" --model ""gpt-3.5-turbo"" --max-length 10 --temperature 0.7 --top_p 0.9 ""prompt""" Feed a file as additional pre-prompt input,"tgpt --provider blackboxai ""prompt"" < path/to/file" Search for a pattern within a Zip archive,"zipgrep ""search_pattern"" path/to/file.zip" Print file name and line number for each match,"zipgrep -H -n ""search_pattern"" path/to/file.zip" Search for lines that do not match a pattern,"zipgrep -v ""search_pattern"" path/to/file.zip" Specify files inside a Zip archive from search,"zipgrep ""search_pattern"" path/to/file.zip file/to/search1 file/to/search2" Exclude files inside a Zip archive from search,"zipgrep ""search_pattern"" path/to/file.zip -x file/to/exclude1 file/to/exclude2" Bind to a specific port on localhost,guacd -b 127.0.0.1 -l 4823 "Start in debug mode, keeping the process in the foreground",guacd -f -L debug Start with TLS support,guacd -C my-cert.crt -K my-key.pem Write the PID to a file,guacd -p path/to/file.pid Change the owner user of a file/directory,chown user path/to/file_or_directory Change the owner user and group of a file/directory,chown user:group path/to/file_or_directory Change the owner user and group to both have the name `user`,chown user: path/to/file_or_directory Recursively change the owner of a directory and its contents,chown -R user path/to/directory Change the owner of a symbolic link,chown -h user path/to/symlink Change the owner of a file/directory to match a reference file,chown --reference path/to/reference_file path/to/file_or_directory Check if a DocBook XML file is valid,daps -d path/to/file.xml validate Convert a DocBook XML file into PDF,daps -d path/to/file.xml pdf Convert a DocBook XML file into a single HTML file,daps -d path/to/file.xml html --single Display help,daps --help Display version,daps --version Check the last commit message,gitlint The range of commits to lint,gitlint --commits single_refspec_argument Path to a directory or Python module with extra user-defined rules,gitlint --extra-path path/to/directory Start a specific CI job,gitlint --target path/to/target_directory Path to a file containing a commit-msg,gitlint --msg-filename path/to/filename Read staged commit meta-info from the local repository,gitlint --staged "[c]reate a backup archive of one or more files or directories, specifying the cryptographic key and the cache directory",tarsnap -c --keyfile path/to/key_file --cachedir path/to/cache_directory -f archive_name path/to/file_or_directory1 path/to/file_or_directory2 ... Display how much data would be uploaded,tarsnap -c --dry-run --print-stats --keyfile path/to/key_file --cachedir path/to/cache_directory -f archive_name path/to/file_or_directory1 path/to/file_or_directory2 ... List stored archives,tarsnap --list-archives --keyfile path/to/key_file [d]elete a specific archive,tarsnap -d --keyfile path/to/key_file --cachedir path/to/cache_directory -f archive_name Lis[t] the contents of a specific archive in [v]erbose mode,tarsnap -tv --keyfile path/to/key_file -f archive_name Restore one or more files or directories from a specific archive,tarsnap -x --keyfile path/to/key_file -f archive_name path/to/file_or_directory1 path/to/file_or_directory2 ... Copy an archive,tarsnap -c --keyfile path/to/key_file -f new_archive_name @@source_archive_name Show the balance change in all accounts from all postings over all time,hledger balance "Show the balance change in accounts named `*expenses*`, as a tree, summarising the top two levels only",hledger balance expenses --tree --depth 2 "Show expenses each month, and their totals and averages, sorted by total; and their monthly budget goals",hledger balance expenses --monthly --row-total --average --sort-amount --budget "Similar to the above, shorter form, matching accounts by `Expense` type, as a two level tree without squashing boring accounts",hledger bal type:X -MTAS --budget -t -2 --no-elide "Show end balances (including from postings before the start date), quarterly in 2024, in accounts named `*assets*` or `*liabilities*`",hledger balance --historical --period 'quarterly in 2024' assets liabilities "Similar to the above, shorter form; also show zero balances, sort by total and summarise to three levels",hledger bal -HQ date:2024 type:AL -ES -3 Show investment assets' market value in base currency at the end of each quarter,hledger bal -HVQ assets:investments "Show unrealised capital gains/losses from market price changes in each quarter, for non-cryptocurrency investment assets",hledger bal --gain -Q assets:investments not:cryptocurrency "Search for an exploit, shellcode, or paper",searchsploit search_terms "Search for a known specific version, e.g. sudo version 1.8.27",searchsploit sudo 1.8.27 Show the exploit-db link to the found resources,searchsploit --www search_terms Copy ([m]irror) the resource to the current directory (requires the number of the exploit),searchsploit --mirror exploit_number "E[x]amine the resource, using the pager defined in the `$PAGER` environment variable",searchsploit --examine exploit_number [u]pdate the local Exploit Database,searchsploit --update Search for the [c]ommon [v]ulnerabilities and [e]xposures (CVE) value,searchsploit --cve 2021-44228 Check results in `nmap`'s XML output with service version (`nmap -sV -oX nmap-output.xml`) for known exploits,searchsploit --nmap path/to/nmap-output.xml Install or update an application anonymously,steamcmd +login anonymous +app_update appid +quit Install or update an application using the specified credentials,steamcmd +login username +app_update appid +quit Install an application for a specific platform,steamcmd +@sSteamCmdForcePlatformType windows +login anonymous +app_update appid validate +quit Format and add a new device to an existing filesystem.,sudo bcachefs device add --label=group.name path/to/mountpoint path/to/device Migrate data off a device to prepare for removal,bcachefs device evacuate path/to/device Permanently remove a device from a filesystem,bcachefs device remove path/to/device Look for pattern in the database. Note: the database is recomputed periodically (usually weekly or daily),locate pattern Look for a file by its exact filename (a pattern containing no globbing characters is interpreted as `*pattern*`),locate '*/filename' Recompute the database. You need to do it if you want to find recently added files,sudo updatedb Install a module (`-i` is optional),cpan -i module_name Force install a module (`-i` is not optional),cpan -fi module_name Upgrade all installed modules,cpan -u Recompile modules,cpan -r List all global environment variables describing the user's locale,locale List all available locales,locale --all-locales Display all available locales and the associated metadata,locale --all-locales --verbose Display the current date format,locale date_fmt Show where CUPS is currently installed,cups-config --serverbin Show the location of CUPS' configuration directory,cups-config --serverroot Show the location of CUPS' data directory,cups-config --datadir Display help,cups-config --help Display CUPS version,cups-config --version View documentation for the original command,tldr docker diff "Switch to a specified branch, stashing and restoring unstaged changes",git switch target_branch "Synchronize current branch, automatically merging or rebasing, and stashing and unstashing",git sync Publish a specified branch to the remote server,git publish branch_name Remove a branch from the remote server,git unpublish branch_name List all branches and their publication status,git branches glob_pattern Remove the last commit from the history,git undo --hard "Generate an analyzer from a Lex file, storing it to the file `lex.yy.c`",lex analyzer.l Specify the output file,lex -t analyzer.l > analyzer.c Compile a C file generated by Lex,c99 path/to/lex.yy.c -o executable Set artist and song title tag of an MP3 file,id3tag --artist artist --song song_title path/to/file.mp3 Set album title of all MP3 files in the current directory,id3tag --album album *.mp3 Display help,id3tag --help Convert the image from BT.709 luminance to radiance or sRGB luminance,pnmgamma -bt709tolinear|bt709tosrgb path/to/image.pnm > path/to/output.pnm Convert the image from radiance or sRGB luminance to BT.709 luminance,pnmgamma -lineartobt709|srgbtobt709 path/to/image.pnm > path/to/output.pnm Specify the gamma value used for the gamma transfer function,pnmgamma -gamma value path/to/image.pnm > path/to/output.pnm Specify the gamma value used for the gamma transfer function per color component,pnmgamma -rgamma value -ggamma value -bgamma value path/to/image.pnm > path/to/output.pnm Create/overwrite htpasswd file,htpasswd -c path/to/file username Add user to htpasswd file or update existing user,htpasswd path/to/file username Add user to htpasswd file in batch mode without an interactive password prompt (for script usage),htpasswd -b path/to/file username password Delete user from htpasswd file,htpasswd -D path/to/file username Verify user password,htpasswd -v path/to/file username Display a string with username (plain text) and password (md5),htpasswd -nbm username password List all configuration files that will be used,pw-config List all configuration files that will be used by the PipeWire PulseAudio server,pw-config --name pipewire-pulse.conf List all configuration sections used by the PipeWire PulseAudio server,pw-config --name pipewire-pulse.conf list List the `context.properties` fragments used by the JACK clients,pw-config --name jack.conf list context.properties List the merged `context.properties` used by the JACK clients,pw-config --name jack.conf merge context.properties List the merged `context.modules` used by the PipeWire server and [r]eformat,pw-config --name pipewire.conf --recurse merge context.modules Display help,pw-config --help Show the current pinning data,tlmgr pinning show Pin the matching the packages to the given repository,tlmgr pinning add repository package1 package2 ... Remove any packages recorded in the pinning file matching the packages for the given repository,tlmgr pinning remove repository package1 package2 ... Remove all pinning data for the given repository,tlmgr pinning remove repository --all Authorize a Salesforce Organization,sf force:auth:web:login --setalias organization --instanceurl organization_url List all authorized organizations,sf force:org:list Open a specific organization in the default web browser,sf force:org:open --targetusername organization Display information about a specific organization,sf force:org:display --targetusername organization Push source metadata to an Organization,sf force:source:push --targetusername organization Pull source metadata from an Organization,sf force:source:pull --targetusername organization Generate a password for the organization's logged-in user,sf force:user:password:generate --targetusername organization Assign a permission set for the organization's logged-in user,sf force:user:permset:assign --permsetname permission_set_name --targetusername organization View documentation for the original command,tldr qm disk resize Output the first few lines of a file,head -n count path/to/file Display the `AndroidManifest.xml` of the base module,bundletool dump manifest --bundle path/to/bundle.aab Display a specific value from the `AndroidManifest.xml` using XPath,bundletool dump manifest --bundle path/to/bundle.aab --xpath /manifest/@android:versionCode Display the `AndroidManifest.xml` of a specific module,bundletool dump manifest --bundle path/to/bundle.aab --module name Display all the resources in the application bundle,bundletool dump resources --bundle path/to/bundle.aab Display the configuration for a specific resource,bundletool dump resources --bundle path/to/bundle.aab --resource type/name Display the configuration and values for a specific resource using the ID,bundletool dump resources --bundle path/to/bundle.aab --resource 0x7f0e013a --values Display the contents of the bundle configuration file,bundletool dump config --bundle path/to/bundle.aab Make a simple GET request,lwp-request -m GET http://example.com/some/path Upload a file with a POST request,lwp-request -m POST http://example.com/some/path < path/to/file Make a request with a custom user agent,lwp-request -H 'User-Agent: user_agent -m METHOD http://example.com/some/path Make a request with HTTP authentication,lwp-request -C username:password -m METHOD http://example.com/some/path Make a request and print request headers,lwp-request -U -m METHOD http://example.com/some/path Make a request and print response headers and status chain,lwp-request -E -m METHOD http://example.com/some/path "Display a report of outdated, incorrect, and unused dependencies",npm-check Interactively update out-of-date packages,npm-check --update Update everything without prompting,npm-check --update-all Don't check for unused packages,npm-check --skip-unused "Display the username, line, and time of all currently logged-in sessions",who Display all available information,who -a Display all available information with table headers,who -a -H Display the absolute path for a file or directory,realpath path/to/file_or_directory Require all path components to exist,realpath --canonicalize-existing path/to/file_or_directory "Resolve "".."" components before symlinks",realpath --logical path/to/file_or_directory Disable symlink expansion,realpath --no-symlinks path/to/file_or_directory Suppress error messages,realpath --quiet path/to/file_or_directory Compute the hillshade of a DEM,gdaldem hillshade path/to/input.tif path/to/output.tif Compute the slope of a DEM,gdaldem slope path/to/input.tif path/to/output.tif Compute the aspect of a DEM,gdaldem aspect path/to/input.tif path/to/output.tif List the status of all hosts within a range,fping 192.168.1.{1..254} List alive hosts within a subnet generated from a netmask,fping -a|--alive -g|--generate 192.168.1.0/24 List alive hosts within a subnet generated from an IP range and prune per-probe results,fping -q|--quiet -a|--alive -g|--generate 192.168.1.1 192.168.1.254 List unreachable hosts within a subnet generated from a netmask,fping -u|--unreach -g|--generate 192.168.1.0/24 Create a new Amplify app,aws amplify create-app --name app_name --description description --repository repo_url --platform platform --environment-variables env_vars --tags tags Delete an existing Amplify app,aws amplify delete-app --app-id app_id Get details of a specific Amplify app,aws amplify get-app --app-id app_id List all Amplify apps,aws amplify list-apps Update settings of an Amplify app,aws amplify update-app --app-id app_id --name new_name --description new_description --repository new_repo_url --environment-variables new_env_vars --tags new_tags Add a new backend environment to an Amplify app,aws amplify create-backend-environment --app-id app_id --environment-name env_name --deployment-artifacts artifacts Remove a backend environment from an Amplify app,aws amplify delete-backend-environment --app-id app_id --environment-name env_name List all backend environments in an Amplify app,aws amplify list-backend-environments --app-id app_id List duplicate or similar files in specific directories,czkawka-cli dup|image --directories path/to/directory1 path/to/directory2 ... Find duplicate files in specific directories and delete them (default: `NONE`),czkawka-cli dup --directories path/to/directory1 path/to/directory2 ... --delete-method AEN|AEO|ON|OO|HARD|NONE "Archive a directory with tar, then compress",lrztar path/to/directory "Same as above, with ZPAQ - extreme compression, but very slow",lrztar -z path/to/directory Specify the output file,lrztar -o path/to/file path/to/directory Override the number of processor threads to use,lrztar -p 8 path/to/directory Force overwriting of existing files,lrztar -f path/to/directory Send a transaction to a given address,"bitcoin-cli sendtoaddress ""address"" amount" Generate one or more blocks,bitcoin-cli generate num_blocks Print high-level information about the wallet,bitcoin-cli getwalletinfo List all outputs from previous transactions available to fund outgoing transactions,bitcoin-cli listunspent Export the wallet information to a text file,"bitcoin-cli dumpwallet ""path/to/file""" Get blockchain information,bitcoin-cli getblockchaininfo Get network information,bitcoin-cli getnetworkinfo Stop the Bitcoin Core daemon,bitcoin-cli stop Resume all tasks in the default group,pueue start Resume a specific task,pueue start task_id Resume multiple tasks at once,pueue start task_id task_id Resume all tasks and start their children,pueue start --all --children Resume all tasks in a specific group,pueue start group group_name Display a human-readable summary of differences between files using a specific column as a unique identifier,csv-diff path/to/file1.csv path/to/file2.csv --key column_name Display a human-readable summary of differences between files that includes unchanged values in rows with at least one change,csv-diff path/to/file1.csv path/to/file2.csv --key column_name --show-unchanged Display a summary of differences between files in JSON format using a specific column as a unique identifier,csv-diff path/to/file1.csv path/to/file2.csv --key column_name --json Uninstall a package,sudo urpme package Uninstall orphan packages (Note: use it with caution as it might unintentionally remove important packages),sudo urpme --auto-orphans Uninstall a package and its dependencies,sudo urpme --auto-orphans package Print files and directories up to 'num' levels of depth (where 1 means the current directory),tree -L num Print directories only,tree -d Print hidden files too with colorization on,tree -a -C "Print the tree without indentation lines, showing the full path instead (use `-N` to not escape non-printable characters)",tree -i -f "Print the size of each file and the cumulative size of each directory, in human-readable format",tree -s -h --du "Print files within the tree hierarchy, using a wildcard (glob) pattern, and pruning out directories that don't contain matching files",tree -P '*.txt' --prune "Print directories within the tree hierarchy, using the wildcard (glob) pattern, and pruning out directories that aren't ancestors of the wanted one",tree -P directory_name --matchdirs --prune Print the tree ignoring the given directories,tree -I 'directory_name1|directory_name2' Compare two files or directories,difft path/to/file_or_directory1 path/to/file_or_directory2 Only report the presence of differences between the files,difft --check-only path/to/file1 path/to/file2 Specify the display mode (default is `side-by-side`),difft --display side-by-side|side-by-side-show-both|inline|json path/to/file1 path/to/file2 Ignore comments when comparing,difft --ignore-comments path/to/file1 path/to/file2 Enable/Disable syntax highlighting of source code (default is `on`),difft --syntax-highlight on|off path/to/file1 path/to/file2 Do not output anything at all if there are no differences between files,difft --skip-unchanged path/to/file_or_directory1 path/to/file_or_directory2 "Print all programming languages supported by the tool, along with their extensions",difft --list-languages Convert a YBM image file to PBM,ybmtopbm path/to/input_file.ybm > path/to/output_file.pbm Run a command as a daemon,daemonize command command_arguments Write the PID to the specified file,daemonize -p path/to/pidfile command command_arguments Use a lock file to ensure that only one instance runs at a time,daemonize -l path/to/lockfile command command_arguments Use the specified user account,sudo daemonize -u user command command_arguments Display the OOM-killer score of the process with a specific ID,choom -p pid Change the adjust OOM-killer score of a specific process,choom -p pid -n -1000..+1000 Run a command with a specific adjust OOM-killer score,choom -n -1000..+1000 command argument1 argument2 ... Convert a PNM image to a SIR image,pnmtosir path/to/input.pnm > path/to/output.sir Test if a given variable is equal to a given string,"test ""$MY_VAR"" = ""/bin/zsh""" Test if a given variable is empty,"test -z ""$GIT_BRANCH""" Test if a file exists,"test -f ""path/to/file_or_directory""" Test if a directory does not exist,"test ! -d ""path/to/directory""" "If A is true, then do B, or C in the case of an error (notice that C may run even if A fails)","test condition && echo ""true"" || echo ""false""" Show a summary of the latest commit on a branch,git show-branch branch_name|ref|commit Compare commits in the history of multiple commits or branches,git show-branch branch_name1|ref1|commit1 branch_name2|ref2|commit2 ... Compare all remote tracking branches,git show-branch --remotes Compare both local and remote tracking branches,git show-branch --all List the latest commits in all branches,git show-branch --all --list Compare a given branch with the current branch,git show-branch --current commit|branch_name|ref Display the commit name instead of the relative name,git show-branch --sha1-name --current current|branch_name|ref Keep going a given number of commits past the common ancestor,git show-branch --more 5 commit|branch_name|ref commit|branch_name|ref ... Search for a given package,extrepo search package Enable the repository,sudo extrepo enable repository_name Disable the repository,sudo extrepo disable repository_name Update the repository,sudo extrepo update repository_name "Read a PPM image from the input file, convert it to three subsampled Abekas YUV image and store these images to output files starting with the specified basename",ppmtoyuvsplit basename path/to/input_file.ppm Launch the word processor application,calligrawords Open a specific document,calligrawords path/to/document Display help or version,calligrawords --help|version List all namespaces,lsns List namespaces in JSON format,lsns --json List namespaces associated with the specified process,lsns --task pid List the specified type of namespaces only,lsns --type mnt|net|ipc|user|pid|uts|cgroup|time "List namespaces, only showing the namespace ID, type, PID, and command","lsns --output NS,TYPE,PID,COMMAND" Request results from all the search engines,"onionsearch ""string""" Request search results from specific search engines,"onionsearch ""string"" --engines tor66 deeplink phobos ..." Exclude certain search engines when searching,"onionsearch ""string"" --exclude candle ahmia ..." Limit the number of pages to load per engine,"onionsearch ""stuxnet"" --engines tor66 deeplink phobos ... --limit 3" List all supported search engines,"onionsearch --help | grep -A1 -i ""supported engines""" Clone a Git repository into a new directory,git force-clone remote_repository_location path/to/directory "Clone a Git repository into a new directory, checking out an specific branch",git force-clone -b branch_name remote_repository_location path/to/directory "Clone a Git repository into an existing directory of a Git repository, performing a force-reset to resemble it to the remote and checking out an specific branch",git force-clone -b branch_name remote_repository_location path/to/directory Print the starship integration code for the specified shell,starship init bash|elvish|fish|ion|powershell|tcsh|zsh Append the `starship` integration code to `~/.bashrc`,starship init bash >> ~/.bashrc Append the `starship` integration code to `~/.zshrc`,starship init zsh >> ~/.zshrc Display help,starship init --help "Merge two PDFs into one with the default suffix ""joined""",pdfjoin path/to/file1.pdf path/to/file2.pdf Merge the first page of each given file together,pdfjoin path/to/file1.pdf path/to/file2.pdf ... 1 --outfile output_file Save pages 3 to 5 followed by page 1 to a new PDF with custom suffix,"pdfjoin path/to/file.pdf 3-5,1 --suffix rearranged" Merge page subranges from two PDFs,pdfjoin /path/to/file1.pdf 2- file2 last-3 --outfile output_file "Print the status line to `stdout` periodically, using the default configuration",i3status "Print the status line to `stdout` periodically, using a specific configuration",i3status -c path/to/i3status.conf Display help and version,i3status -h Render a PNG image with a filename based on the input filename and output format (uppercase -O),osage -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),osage -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",osage -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | osage -T gif > path/to/image.gif" Display help,osage -? Download/Update all packages specified in `pubspec.yaml`,flutter pub get Add a package dependency to an app,flutter pub add package1 package2 ... Remove a package dependency from an app,flutter pub remove package1 package2 ... Upgrade to the highest version of a package that is allowed by `pubspec.yaml`,flutter pub upgrade package Authenticate Docker with the default registry (username is AWS),aws ecr get-login-password --region region | docker login --username AWS --password-stdin aws_account_id.dkr.ecr.region.amazonaws.com Create a repository,aws ecr create-repository --repository-name repository --image-scanning-configuration scanOnPush=true|false --region region Tag a local image for ECR,docker tag container_name:tag aws_account_id.dkr.ecr.region.amazonaws.com/container_name:tag Push an image to a repository,docker push aws_account_id.dkr.ecr.region.amazonaws.com/container_name:tag Pull an image from a repository,docker pull aws_account_id.dkr.ecr.region.amazonaws.com/container_name:tag Delete an image from a repository,aws ecr batch-delete-image --repository-name repository --image-ids imageTag=latest Delete a repository,aws ecr delete-repository --repository-name repository --force List images within a repository,aws ecr list-images --repository-name repository Create a new encrypted vault file with a prompt for a password,ansible-vault create vault_file Create a new encrypted vault file using a vault key file to encrypt it,ansible-vault create --vault-password-file password_file vault_file Encrypt an existing file using an optional password file,ansible-vault encrypt --vault-password-file password_file vault_file "Encrypt a string using Ansible's encrypted string format, displaying interactive prompts",ansible-vault encrypt_string "View an encrypted file, using a password file to decrypt",ansible-vault view --vault-password-file password_file vault_file Re-key already encrypted vault file with a new password file,ansible-vault rekey --vault-password-file old_password_file --new-vault-password-file new_password_file vault_file Release a build artifact,pkgctl release --repo repository --message commit_message List all snapshots of a specific virtual machine,qm listsnapshot vm_id Print a text message. Note: quotes are optional,"echo ""Hello World""" Print a message with environment variables,"echo ""My path is $PATH""" Print a message without the trailing newline,"echo -n ""Hello World""" Append a message to the file,"echo ""Hello World"" >> file.txt" Enable interpretation of backslash escapes (special characters),"echo -e ""Column 1\tColumn 2""" Print the exit status of the last executed command (Note: In Windows Command Prompt and PowerShell the equivalent commands are `echo %errorlevel%` and `$lastexitcode` respectively),echo $? Convert a PBM image into a Sun icon,pbmtosunicon path/to/input.pbm > path/to/output.ico Change the group name,sudo groupmod --new-name new_group group_name Change the group ID,sudo groupmod --gid new_id group_name Install project dependencies from `package-lock.json` or `npm-shrinkwrap.json`,npm ci Install project dependencies but skip the specified dependency type,npm ci --omit=dev|optional|peer Install project dependencies without running any pre-/post-scripts defined in `package.json`,npm ci --ignore-scripts Download a file,rtmpdump --rtmp rtmp://example.com/path/to/video -o file.ext Download a file from a Flash player,"rtmpdump --rtmp rtmp://example.com/path/to/video --swfVfy http://example.com/player --flashVer ""LNX 10,0,32,18"" -o file.ext" Specify connection parameters if they are not detected correctly,rtmpdump --rtmp rtmp://example.com/path/to/video --app app_name --playpath path/to/video -o file.ext Download a file from a server that requires a referrer,rtmpdump --rtmp rtmp://example.com/path/to/video --pageUrl http://example.com/webpage -o file.ext View network settings of an interface,ifconfig interface_name "Display details of all interfaces, including disabled interfaces",ifconfig -a Disable an interface,ifconfig interface_name down Enable an interface,ifconfig interface_name up Assign an IP address to an interface,ifconfig interface_name ip_address View documentation for `pamcrater`,tldr pamcrater View documentation for `pamshadedrelief`,tldr pamshadedrelief View documentation for `pamtopnm`,tldr pamtopnm Open cmus into the specified directory (this will become your new working directory),cmus path/to/directory Add file/directory to library,:add path/to/file_or_directory Pause/unpause current song,c Toggle shuffle mode on/off,s Quit cmus,q Start a Tetris game,bastet Navigate the piece horizontally,Left|Right arrow key Rotate the piece clockwise or counterclockwise,Spacebar|Up arrow key Soft drop the piece, Hard drop the piece, Pause the game,p Quit the game, + C Print all available information,lsb_release -a Print a description (usually the full name) of the operating system,lsb_release -d "Print only the operating system name (ID), suppressing the field name",lsb_release -i -s "Print the release number and codename of the distribution, suppressing the field names",lsb_release -rcs Generate `compile_commands.json` by running a build command,bear -- make Generate compilation database with a custom output file name,bear --output path/to/compile_commands.json -- make Append results to an existing `compile_commands.json` file,bear --append -- make Run in verbose mode to get detailed output,bear --verbose -- make Force `bear` to use the preload method for command interception,bear --force-preload -- make Listen for idle activity using the configuration in `$XDG_CONFIG_HOME/swayidle/config` or `$HOME/swayidle/config`,swayidle Specify an alternative path to the configuration file,swayidle -C path/to/file "Capture traffic on a specific [i]nterface, port and host:",sudo tcpick -i interface -C -h host -p port Capture traffic on port 80 (HTTP) of a specific host,sudo tcpick -i eth0 -C -h 192.168.1.100 -p 80 Display help,tcpick --help Create a new project,gcloud projects create project_id|project_number List all active projects,gcloud projects list Display metadata for a project,gcloud projects describe project_id Delete a project,gcloud projects delete project_id|project_number Add an IAM policy binding to a specified project,gcloud projects add-iam-policy-binding project_id --member principal --role role List all keys for TeX Live,tlmgr key list Add a key from a specific file,sudo tlmgr key add path/to/key.gpg Add a key from `stdin`,cat path/to/key.gpg | sudo tlmgr key add - Remove a specific key by its ID,sudo tlmgr key remove key_id View documentation for the current command,tldr sunicontopnm "List all running units, ordered by the time they took to initialize",systemd-analyze blame Print a tree of the time-critical chain of units,systemd-analyze critical-chain "Create an SVG file showing when each system service started, highlighting the time that they spent on initialization",systemd-analyze plot > path/to/file.svg Plot a dependency graph and convert it to an SVG file,systemd-analyze dot | dot -Tsvg > path/to/file.svg Show security scores of running units,systemd-analyze security Trim unused blocks on all mounted partitions that support it,sudo fstrim --all Trim unused blocks on a specified partition,sudo fstrim / Display statistics after trimming,sudo fstrim --verbose / Calculate a hash with a password and a salt with the default parameters,"echo ""password"" | argon2 ""salt_text""" Calculate a hash with the specified algorithm,"echo ""password"" | argon2 ""salt_text"" -d|i|id" Display the output hash without additional information,"echo ""password"" | argon2 ""salt_text"" -e" "Calculate a hash with given iteration [t]imes, [m]emory usage, and [p]arallelism parameters","echo ""password"" | argon2 ""salt_text"" -t 5 -m 20 -p 7" Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc vnc 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Avoid rate limiting through VNC-sleep,nxc vnc 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt --vnc-sleep 10 Take a screenshot on the remote system after waiting the specified amount of time,nxc vnc 192.168.178.2 -u username -p password --screenshot --screentime 10 Get the configuration of an image,crane config image_name Display help,crane config -h|--help Preview an SVG,inkview path/to/file.svg Preview multiple SVGs (use arrow keys to navigate),inkview path/to/file1.svg path/to/file2.svg ... "Start `cupsd` in the background, aka. as a daemon",cupsd Start `cupsd` on the [f]oreground,cupsd -f [l]aunch `cupsd` on-demand (commonly used by `launchd` or `systemd`),cupsd -l Start `cupsd` using the specified [`c`]`upsd.conf` configuration file,cupsd -c path/to/cupsd.conf Start `cupsd` using the specified `cups-file`[`s`]`.conf` configuration file,cupsd -s path/to/cups-files.conf [t]est the [`c`]`upsd.conf` configuration file for errors,cupsd -t -c path/to/cupsd.conf [t]est the `cups-file`[`s`]`.conf` configuration file for errors,cupsd -t -s path/to/cups-files.conf Display help,cupsd -h Update all TeX Live packages,sudo tlmgr update --all Update tlmgr itself,sudo tlmgr update --self Update a specific package,sudo tlmgr update package Update all except a specific package,sudo tlmgr update --all --exclude package "Update all packages, making a backup of the current packages",sudo tlmgr update --all --backup Update a specific package without updating its dependencies,sudo tlmgr update --no-depends package Simulate updating all packages without making any changes,sudo tlmgr update --all --dry-run Scan a web server for common paths with common extensions,dirsearch --url url --extensions-list Scan a list of web servers for common paths with the `.php` extension,dirsearch --url-list path/to/url-list.txt --extensions php Scan a web server for user-defined paths with common extensions,dirsearch --url url --extensions-list --wordlist path/to/url-paths.txt Scan a web server using a cookie,dirsearch --url url --extensions php --cookie cookie Scan a web server using the `HEAD` HTTP method,dirsearch --url url --extensions php --http-method HEAD "Scan a web server, saving the results to a `.json` file",dirsearch --url url --extensions php --json-report path/to/report.json Switch to a branch,dolt checkout branch_name Revert unstaged changes to a table,dolt checkout table Create new branch and switch to it,dolt checkout -b branch_name Create new branch based on a specified commit and switch to it,dolt checkout -b branch_name commit Print diagnostics information,idevicediagnostics diagnostics Print mobilegestalt key values,idevicediagnostics mobilegestalt key1 key2 "Shutdown, restart or sleep the device",idevicediagnostics shutdown|restart|sleep Show functions in the current project,list functions; Create a Java function using a `.jar` resource,create function func_name as path.to.package.Func using 'package.jar'; Create a Python function using a `.py` resource,create function func_name as script.Func using 'script.py'; Delete a function,drop function func_name; Convolve a PNM image with the specified convolution matrix,"pnmconvol -matrix=-1,3,-1 path/to/image.pnm > path/to/output.pnm" "Convolve a PNM image with the convolution matrix in the specified files, one for each layer in the input image","pnmconvol -matrixfile path/to/matrix1,path/to/matrix2,... path/to/image.pnm > path/to/output.pnm" Convolve a PNM image with the convolution matrix in the specified PNM file,pnmconvol path/to/matrix.pnm path/to/image.pnm > path/to/output.pnm Normalize the weights in the convolution matrix such that they add up to one,"pnmconvol -matrix=-1,3,-1 -normalize path/to/image.pnm > path/to/output.pnm" Convert a PNM image to a CMYK encoded TIFF,pnmtotiffcmyk path/to/input_file.pnm > path/to/output_file.tiff Specify the TIFF compression method,pnmtotiffcmyk -none|packbits|lzw path/to/input_file.pnm > path/to/output_file.tiff Control the fill order,pnmtotiffcmyk -msb2lsb|lsb2msb path/to/input_file.pnm > path/to/output_file.tiff [a]rchive a file or directory,7za a path/to/archive.7z path/to/file_or_directory Encrypt an existing archive (including file names),7za a path/to/encrypted.7z -ppassword -mhe=on path/to/archive.7z E[x]tract an archive preserving the original directory structure,7za x path/to/archive.7z E[x]tract an archive to a specific directory,7za x path/to/archive.7z -opath/to/output E[x]tract an archive to `stdout`,7za x path/to/archive.7z -so [a]rchive using a specific archive type,7za a -t7z|bzip2|gzip|lzip|tar|... path/to/archive.7z path/to/file_or_directory [l]ist the contents of an archive,7za l path/to/archive.7z "Set the level of compression (higher means more compression, but slower)",7za a path/to/archive.7z -mx=0|1|3|5|7|9 path/to/file_or_directory Print the remove commands instead of actually removing anything,go clean -n Delete the build cache,go clean -cache Delete all cached test results,go clean -testcache Delete the module cache,go clean -modcache List models,openai api models.list Create a completion,"openai api completions.create --model ada --prompt ""Hello world""" Create a chat completion,"openai api chat_completions.create --model gpt-3.5-turbo --message user ""Hello world""" Generate images via DALL·E API,"openai api image.create --prompt ""two dogs playing chess, cartoon"" --num-images 1" Create a new wallet,electrum -w new_wallet.dat create Restore an existing wallet from seed offline,electrum -w recovery_wallet.dat restore -o Create a signed transaction offline,electrum mktx recipient amount -f 0.0000001 -F from -o Display all wallet receiving addresses,electrum listaddresses -a Sign a message,electrum signmessage address message Verify a message,electrum verifymessage address signature message Connect only to a specific electrum-server instance,electrum -p socks5:127.0.0.1:9050 -s 56ckl5obj37gypcu.onion:50001:t -1 Start the server,var-dump-server Dump the data in an HTML file,var-dump-server --format=html > path/to/file.html Make the server listen on a specific address and port,var-dump-server --host 127.0.0.1:9912 List outdated dependencies in the current directory,ncu List outdated global `npm` packages,ncu --global Upgrade all dependencies in the current directory,ncu --upgrade Interactively upgrade dependencies in the current directory,ncu --interactive List outdated dependencies up to the highest minor version,ncu --target minor List outdated dependencies that match a keyword or regular expression,ncu --filter keyword|/regex/ List only a specific section of outdated dependencies,ncu --dep dev|optional|peer|prod|packageManager Display help,ncu --help List all NodeBalancers,linode-cli nodebalancers list Create a new NodeBalancer,linode-cli nodebalancers create --region region View details of a specific NodeBalancer,linode-cli nodebalancers view nodebalancer_id Update an existing NodeBalancer,linode-cli nodebalancers update nodebalancer_id --label new_label Delete a NodeBalancer,linode-cli nodebalancers delete nodebalancer_id List configurations for a NodeBalancer,linode-cli nodebalancers configs list nodebalancer_id Add a new configuration to a NodeBalancer,linode-cli nodebalancers configs create nodebalancer_id --port port --protocol protocol Print the file name of this terminal,tty Show if Secure Boot is enabled,mokutil --sb-state Enable Secure Boot,mokutil --enable-validation Disable Secure Boot,mokutil --disable-validation List enrolled keys,mokutil --list-enrolled Enroll a new key,mokutil --import path/to/key.der List the keys to be enrolled,mokutil --list-new Set shim verbosity,mokutil --set-verbosity true Display version,dolt version Uninstall a formula/cask,brew uninstall formula|cask Uninstall a cask and remove all associated files,brew uninstall --zap cask Convert a PNM image to a RAST image,pnmtorast path/to/input.pnm > path/to/output.rast Force either `RT_STANDARD` or `RT_BYTE_ENCODED` form for the output,pnmtorast -standard|rle path/to/input.pnm > path/to/output.rast View documentation for scanning files using the `clamd` daemon,tldr clamdscan View documentation for scanning files without the `clamd` daemon running,tldr clamscan View documentation for updating the virus definitions,tldr freshclam Install translation pairs for Spanish to English translation,argospm install translate-es_en Translate some text from Spanish (`es`) to English (`en`) (Note: only two letter language codes are supported),argos-translate --from-lang es --to-lang en un texto corto Translate a text file from English to Hindi,cat path/to/file.txt | argos-translate --from-lang en --to-lang hi List all installed translation pairs,argospm list Show translation pairs from English that are available to be installed,argospm search --from-lang en Update installed language package pairs,argospm update Translate from `ar` to `ru` (Note: this requires the translation pairs `translate-ar_en` and `translate-en_ru` to be installed),argos-translate --from-lang ar --to-lang ru صورة تساوي أكثر من ألف كلمة Open a text file,gedit path/to/file Open multiple text files,gedit file1 file2 ... Open a text file with a specific encoding,gedit --encoding UTF-8 path/to/file Display a list of supported encodings,gedit --list-encodings Remove spaces and other undesirable characters from a file's name,detox path/to/file Show how detox would rename all the files in a directory tree,detox --dry-run -r path/to/directory Remove spaces and other undesirable characters from all files in a directory tree,detox -r path/to/directory Create a new Middleman project,"middleman init ""project_name""" Start local server for current project on port 4567,middleman server Start local server for current project on a specified port,"middleman server -p ""port""" Build the project in the current directory to prepare for deployment,bundle exec middleman build Deploy the Middleman project in the current directory,middleman deploy Display the completed import source file,goimports path/to/file.go Write the result back to the source file instead of `stdout`,goimports -w path/to/file.go Display diffs and write the result back to the source file,goimports -w -d path/to/file.go Set the import prefix string after 3rd-party packages (comma-separated list),"goimports -local path/to/package1,path/to/package2,... path/to/file.go" Show disk I/O latency using the default values and the current directory,ioping . Measure latency on /tmp using 10 requests of 1 megabyte each,ioping -c 10 -s 1M /tmp Measure disk seek rate on `/dev/sdX`,ioping -R /dev/sdX Measure disk sequential speed on `/dev/sdX`,ioping -RL /dev/sdX Show a list with information about currently existing Ethernet bridges,sudo brctl show Create a new Ethernet bridge interface,sudo brctl add bridge_name Delete an existing Ethernet bridge interface,sudo brctl del bridge_name Add an interface to an existing bridge,sudo brctl addif bridge_name interface_name Remove an interface from an existing bridge,sudo brctl delif bridge_name interface_name Run a video using mpv,xwinwrap -b -nf -ov -- mpv -wid wid --loop --no-audio --no-resume-playback --panscan=1.0 path/to/video.mp4 Run a video in fullscreen using mpv,xwinwrap -b -nf -fs -ov -- mpv -wid wid --loop --no-audio --no-resume-playback --panscan=1.0 path/to/video.mp4 Run a video using mpv with 80% opacity,xwinwrap -b -nf -ov -o 0.8 --- mpv -wid wid --loop --no-audio --no-resume-playback --panscan=1.0 path/to/video.mp4 Run a video using mpv in a second monitor 1600x900 with 1920 offset on X-axis,xwinwrap -g 1600x900+1920 -b -nf -ov -- mpv -wid wid --loop --no-audio --no-resume-playback --panscan=1.0 path/to/video.mkv "Render all frames of an animation in the background, without loading the UI (output is saved to `/tmp`)",blender --background path/to/file.blend --render-anim "Render an animation using a specific image naming pattern, in a path relative (`//`) to the .blend file",blender --background path/to/file.blend --render-output //render/frame_###.png --render-anim "Render the 10th frame of an animation as a single image, saved to an existing directory (absolute path)",blender --background path/to/file.blend --render-output /path/to/output_directory --render-frame 10 "Render the second last frame in an animation as a JPEG image, saved to an existing directory (relative path)",blender --background path/to/file.blend --render-output //output_directory --render-frame JPEG --render-frame -2 "Render the animation of a specific scene, starting at frame 10 and ending at frame 500",blender --background path/to/file.blend --scene scene_name --frame-start 10 --frame-end 500 --render-anim "Render an animation at a specific resolution, by passing a Python expression",blender --background path/to/file.blend --python-expr 'import bpy; bpy.data.scenes[0].render.resolution_percentage = 25' --render-anim Start an interactive Blender session in the terminal with a Python console (do `import bpy` after starting),blender --background --python-console Interactively create a merge request,glab mr create "Create a merge request, determining the title and description from the commit messages of the current branch",glab mr create --fill Create a draft merge request,glab mr create --draft "Create a merge request specifying the target branch, title, and description","glab mr create --target-branch target_branch --title ""title"" --description ""description""" Start opening a merge request in the default web browser,glab mr create --web List IPP printers registered on the network with their status,ippfind --ls Send a specific PostScript document to every PostScript printer on the network,ippfind --txt-pdl application/postscript --exec ipptool -f path/to/document.ps '{}' print-job.test \; Send a PostScript test document to every PostScript printer on the network,ippfind --txt-pdl application/postscript --exec ipptool -f onepage-letter.ps '{}' print-job.test \; "Send a PostScript test document to every PostScript printer on the network, whose name matches a regular expression",ippfind --txt-pdl application/postscript --host regex --exec ipptool -f onepage-letter.ps '{}' print-job.test \; "Read Akebas YUV bytes from three files starting with basename, merge them into a single PPM image and store it in the specified output file",yuvsplittoppm basename width height > path/to/output_file.ppm "Specify the range of pages to convert (N-first page, M-last page)",pdftoppm -f N -l M path/to/file.pdf image_name_prefix Convert only the first page of a PDF,pdftoppm -singlefile path/to/file.pdf image_name_prefix Generate a monochrome PBM file (instead of a color PPM file),pdftoppm -mono path/to/file.pdf image_name_prefix Generate a grayscale PGM file (instead of a color PPM file),pdftoppm -gray path/to/file.pdf image_name_prefix Generate a PNG file instead a PPM file,pdftoppm -png path/to/file.pdf image_name_prefix Ping a host by ARP request packets,arping host_ip Ping a host on a specific interface,arping -I interface host_ip Ping a host and [f]inish after the first reply,arping -f host_ip Ping a host a specific number ([c]ount) of times,arping -c count host_ip Broadcast ARP request packets to update neighbours' ARP caches ([U]nsolicited ARP mode),arping -U ip_to_broadcast [D]etect duplicated IP addresses in the network by sending ARP requests with a 3 second timeout,arping -D -w 3 ip_to_check Print memory map for a specific process ID (PID),pmap pid Show the extended format,pmap --extended pid Show the device format,pmap --device pid Limit results to a memory address range specified by `low` and `high`,"pmap --range low,high" Print memory maps for multiple processes,pmap pid1 pid2 ... "Search for extended regular expressions (supporting `?`, `+`, `{}`, `()` and `|`) in a compressed file (case-sensitive)","zegrep ""search_pattern"" path/to/file" "Search for extended regular expressions (supporting `?`, `+`, `{}`, `()` and `|`) in a compressed file (case-insensitive)","zegrep --ignore-case ""search_pattern"" path/to/file" Search for lines that do not match a pattern,"zegrep --invert-match ""search_pattern"" path/to/file" Print file name and line number for each match,"zegrep --with-filename --line-number ""search_pattern"" path/to/file" "Search for lines matching a pattern, printing only the matched text","zegrep --only-matching ""search_pattern"" path/to/file" Recursively search files in a compressed file for a pattern,"zegrep --recursive ""search_pattern"" path/to/file" Change all files modification time to their last commit date,git utimes "Change files modification time that are newer than their last commit date, preserving original modification time of files that were committed from the local repository",git utimes --newer Show resources in the current project,list resources; Add file resource,add file filename as alias; Add archive resource,add archive archive.tar.gz as alias; Add .jar resource,add jar package.jar; Add .py resource,add py script.py; Delete resource,drop resource resource_name; View documentation for the original command,tldr netlify "Watch a specific file for events, exiting after the first one",inotifywait path/to/file Continuously watch a specific file for events without exiting,inotifywait --monitor path/to/file Watch a directory recursively for events,inotifywait --monitor --recursive path/to/directory "Watch a directory for changes, excluding files, whose names match a regular expression","inotifywait --monitor --recursive --exclude ""regular_expression"" path/to/directory" "Watch a file for changes, exiting when no event occurs for 30 seconds",inotifywait --monitor --timeout 30 path/to/file Only watch a file for file modification events,inotifywait --event modify path/to/file "Watch a file printing only events, and no status messages",inotifywait --quiet path/to/file Run a command when a file is accessed,inotifywait --event access path/to/file && command List the events on your account,linode-cli events list View details about a specific event,linode-cli events view event_id Mark an event as read,linode-cli events mark-read event_id Start an interactive shell session,elvish Execute specific [c]ommands,"elvish -c ""echo 'elvish is executed'""" Execute a specific script,elvish path/to/script.elv Create a new Python 3.6.6 virtual environment,pyenv virtualenv 3.6.6 virtualenv_name List all existing virtual environments,pyenv virtualenvs Activate a virtual environment,pyenv activate virtualenv_name Deactivate the virtual environment,pyenv deactivate Test the configuration file and then exit,collectd -t Test plugin data collection functionality and then exit,collectd -T Start `collectd`,collectd Specify a custom configuration file location,collectd -C path/to/file Specify a custom PID file location,collectd -P path/to/file Don't fork into the background,collectd -f Display help and version,collectd -h Enter the `bluetoothctl` shell,bluetoothctl List all known devices,bluetoothctl devices Power the Bluetooth controller on or off,bluetoothctl power on|off Pair with a device,bluetoothctl pair mac_address Remove a device,bluetoothctl remove mac_address Connect to a paired device,bluetoothctl connect mac_address Disconnect from a paired device,bluetoothctl disconnect mac_address Display help,bluetoothctl help List the namespaces,kubens Change the active namespace,kubens name Switch to the previous namespace,kubens - Display the commands history list with line numbers,history Display the last 20 commands (in Zsh it displays all commands starting from the 20th),history 20 Display history with timestamps in different formats (only available in Zsh),history -d|f|i|E [c]lear the commands history list (only for current Bash shell),history -c Over[w]rite history file with history of current Bash shell (often combined with `history -c` to purge history),history -w [d]elete the history entry at the specified offset,history -d offset Display details about the currently authenticated user,ohdear-cli me Add a new site to Oh Dear,ohdear-cli sites:add url Display a list of sites and their current status,ohdear-cli sites:list Display details about a specific site,ohdear-cli sites:show site_id Find rows that have a certain string in column 1,csvgrep -c 1 -m string_to_match data.csv Find rows in which columns 3 or 4 match a certain regular expression,"csvgrep -c 3,4 -r regular_expression data.csv" "Find rows in which the ""name"" column does NOT include the string ""John Doe""","csvgrep -i -c name -m ""John Doe"" data.csv" Print action can be used to print any file on default run-mailcap tool,print filename With `run-mailcap`,run-mailcap --action=print filename Generate a permuted index where the first field of each line is an index reference,ptx --references path/to/file Generate a permuted index with automatically generated index references,ptx --auto-reference path/to/file Generate a permuted index with a fixed width,ptx --width=width_in_columns path/to/file Generate a permuted index with a list of filtered words,ptx --only-file=path/to/filter path/to/file Generate a permuted index with SYSV-style behaviors,ptx --traditional path/to/file Add files or directories to the staging area,hg add path/to/file Add all unstaged files matching a specified pattern,hg add --include pattern "Add all unstaged files, excluding those that match a specified pattern",hg add --exclude pattern Recursively add sub-repositories,hg add --subrepos Perform a test-run without performing any actions,hg add --dry-run Archive a file or directory,asar pack path/to/input_file_or_directory path/to/output_archive.asar Extract an archive,asar extract path/to/archive.asar Extract a specific file from an archive,asar extract-file path/to/archive.asar file List the contents of an archive file,asar list path/to/archive.asar Scan a Docker image for vulnerabilities and exposed secrets,trivy image image:tag Scan a Docker image filtering the output by severity,"trivy image --severity HIGH,CRITICAL alpine:3.15" Scan a Docker image ignoring any unfixed/unpatched vulnerabilities,trivy image --ignore-unfixed alpine:3.15 Scan the filesystem for vulnerabilities and misconfigurations,"trivy fs --security-checks vuln,config path/to/project_directory" "Scan a IaC (Terraform, CloudFormation, ARM, Helm and Dockerfile) directory for misconfigurations",trivy config path/to/iac_directory Scan a local or remote Git repository for vulnerabilities,trivy repo path/to/local_repository_directory|remote_repository_URL Scan a Git repository up to a specific commit hash,trivy repo --commit commit_hash repository Generate output with a SARIF template,"trivy image --format template --template ""@sarif.tpl"" -o path/to/report.sarif image:tag" Check if a file is an ARM EFI image,grub-file --is-arm-efi path/to/file Check if a file is an i386 EFI image,grub-file --is-i386-efi path/to/file Check if a file is an x86_64 EFI image,grub-file --is-x86_64-efi path/to/file Check if a file is an ARM image (Linux kernel),grub-file --is-arm-linux path/to/file Check if a file is an x86 image (Linux kernel),grub-file --is-x86-linux path/to/file Check if a file is an x86_64 XNU image (macOS kernel),grub-file --is-x86_64-xnu path/to/file Generate a pre-signed URL for a specific S3 object that is valid for one hour,aws s3 presign s3://bucket_name/path/to/file Generate a pre-signed URL valid for a specific lifetime,aws s3 presign s3://bucket_name/path/to/file --expires-in duration_in_seconds Display help,aws s3 presign help Apply the specified arithmetic function with `n` as the second argument to each sample in the specified PAM image,pamfunc -multiplier|divisor|adder|subtractor|min|max n path/to/input.pam > path/to/output.pam Apply the specified bit string function with `n` as the second argument to each sample in the specified PAM image,pamfunc -andmask|ormask|xormask|shiftleft|shiftright n path/to/input.pam > path/to/output.pam Set up an OpenAI API Key,llm keys set openai Run a prompt,"llm ""Ten fun names for a pet pelican""" Run a [s]ystem prompt against a file,"cat path/to/file.py | llm --system ""Explain this code""" Install packages from PyPI into the same environment as LLM,llm install package1 package2 ... Download and run a prompt against a [m]odel,"llm --model orca-mini-3b-gguf2-q4_0 ""What is the capital of France?""" Create a [s]ystem prompt and [s]ave it with a template name,llm --system 'You are a sentient cheesecake' --save sentient_cheesecake Have an interactive chat with a specific [m]odel using a specific [t]emplate,llm chat --model chatgpt --template sentient_cheesecake Convert a PGM image file to the SBIG CCDOPS format,pgmtosbig path/to/input_file.pgm > path/to/output.sbig "Start the window manager, if it is not running already (should ideally be run from `.xsession` or similar)",qtile start Check the configuration file for any compilation errors (default location is `~/.config/qtile/config.py`),qtile check Show current resource usage information,qtile top --force Open the program `xterm` as a floating window on the group named `test-group`,qtile run-cmd --group test-group --float xterm Restart the window manager,qtile cmd-obj --object cmd --function restart Create a read-only subscription level lock,az lock create --name lock_name --lock-type ReadOnly Create a read-only resource group level lock,az lock create --name lock_name --resource-group group_name --lock-type ReadOnly Delete a subscription level lock,az lock delete --name lock_name Delete a resource group level lock,az lock delete --name lock_name --resource-group group_name List out all locks on the subscription level,az lock list Show a subscription level lock with a specific [n]ame,az lock show -n lock_name Fix issues in the specified directory (defaults to the PEAR standard),phpcbf path/to/directory Display a list of installed coding standards,phpcbf -i Specify a coding standard to validate against,phpcbf path/to/directory --standard standard Specify comma-separated file extensions to include when sniffing,"phpcbf path/to/directory --extensions file_extension1,file_extension2,..." A comma-separated list of files to load before processing,"phpcbf path/to/directory --bootstrap path/to/file1,path/to/file2,...)" Don't recurse into subdirectories,phpcbf path/to/directory -l Print full Vulkan information,vulkaninfo Print a summary,vulkaninfo --summary Make a HTML document of the full Vulkan information,vulkaninfo --html Create MicroVM based on Fedora,"krunvm create docker.io/fedora --cpus number_of_vcpus --mem memory_in_megabytes --name ""name""" Start a specific image,"krunvm start ""image_name""" List images,krunvm list Change a specific image,"krunvm changevm --cpus number_of_vcpus --mem memory_in_megabytes --name ""new_vm_name"" ""current_vm_name""" Delete a specific image,"krunvm delete ""image_name""" List all the available Wacom devices. The device name is in the first column,xsetwacom list Set Wacom area to specific screen. Get name of the screen with `xrandr`,"xsetwacom set ""device_name"" MapToOutput screen" Set mode to relative (like a mouse) or absolute (like a pen) mode,"xsetwacom set ""device_name"" Mode ""Relative|Absolute""" "Rotate the input (useful for tablet-PC when rotating screen) by 0|90|180|270 degrees from ""natural"" rotation","xsetwacom set ""device_name"" Rotate none|half|cw|ccw" Set button to only work when the tip of the pen is touching the tablet,"xsetwacom set ""device_name"" TabletPCButton ""on""" Analyze a directory and print the result,phploc path/to/directory Include only specific files from a comma-separated list (globs are allowed),"phploc path/to/directory --names 'path/to/file1,path/to/file2,...'" Exclude specific files from a comma-separated list (globs are allowed),"phploc path/to/directory --names-exclude 'path/to/file1,path/to/file2,...'" Exclude a specific directory from analysis,phploc path/to/directory --exclude path/to/exclude_directory Log the results to a specific CSV file,phploc path/to/directory --log-csv path/to/file Log the results to a specific XML file,phploc path/to/directory --log-xml path/to/file Count PHPUnit test case classes and test methods,phploc path/to/directory --count-tests Show status across all supported websites for the specified email address,holehe username@example.org Show status for only sites where the specified email address is in use,holehe username@example.org --only-used Decompress a file,lrunzip filename.lrz Decompress a file using a specific number of processor threads,lrunzip -p 8 filename.lrz Decompress a file and silently overwrite files if they exist,lrunzip -f filename.lrz Keep broken or damaged files instead of deleting them when decompressing,lrunzip -K filename.lrz Specify output file name and/or path,lrunzip -o outfilename filename.lrz Compile a project,mvn compile "Compile and package the compiled code in its distributable format, such as a `jar`",mvn package "Compile and package, skipping unit tests",mvn package -DskipTests Install the built package in local maven repository. (This will invoke the compile and package commands too),mvn install Delete build artifacts from the target directory,mvn clean Do a clean and then invoke the package phase,mvn clean package Clean and then package the code with a given build profile,mvn clean -P profile package Run a class with a main method,"mvn exec:java -Dexec.mainClass=""com.example.Main"" -Dexec.args=""argument1 argument2 ...""" Build and analyze the project in the current directory,scan-build make Run a command and pass all subsequent options to it,scan-build command command_arguments Display help,scan-build Lookup the IP(s) associated with a hostname (A records),dig +short example.com Get a detailed answer for a given domain (A records),dig +noall +answer example.com Query a specific DNS record type associated with a given domain name,dig +short example.com A|MX|TXT|CNAME|NS Specify an alternate DNS server to query and optionally use DNS over TLS (DoT),dig +tls @1.1.1.1|8.8.8.8|9.9.9.9|... example.com Perform a reverse DNS lookup on an IP address (PTR record),dig -x 8.8.8.8 Find authoritative name servers for the zone and display SOA records,dig +nssearch example.com Perform iterative queries and display the entire trace path to resolve a domain name,dig +trace example.com Query a DNS server over a non-standard [p]ort using the TCP protocol,dig +tcp -p port @dns_server_ip example.com Disable interface eth0,ifdown eth0 Disable all interfaces which are enabled,ifdown -a Run a command as root,doas command Run a command as another user,doas -u user command Launch the default shell as root,doas -s Parse a configuration file and check if the execution of a command as another user is allowed,doas -C config_file command Make `doas` request a password even after it was supplied earlier,doas -L Validate one or more XML documents for well-formedness only,xml validate path/to/input1.xml|URI input2.xml ... Validate one or more XML documents against a Document Type Definition (DTD),xml validate --dtd path/to/schema.dtd path/to/input1.xml|URI input2.xml ... Validate one or more XML documents against an XML Schema Definition (XSD),xml validate --xsd path/to/schema.xsd path/to/input1.xml|URI input2.xml ... Validate one or more XML documents against a Relax NG schema (RNG),xml validate --relaxng path/to/schema.rng path/to/input1.xml|URI input2.xml ... Display help,xml validate --help Open an SVG file in the Inkscape GUI,inkscape path/to/filename.svg Export an SVG file into a bitmap with the default format (PNG) and the default resolution (96 DPI),inkscape path/to/filename.svg -o path/to/filename.png Export an SVG file into a bitmap of 600x400 pixels (aspect ratio distortion may occur),inkscape path/to/filename.svg -o path/to/filename.png -w 600 -h 400 Export the drawing (bounding box of all objects) of an SVG file into a bitmap,inkscape path/to/filename.svg -o path/to/filename.png -D "Export a single object, given its ID, into a bitmap",inkscape path/to/filename.svg -i id -o object.png "Export an SVG document to PDF, converting all texts to paths",inkscape path/to/filename.svg -o path/to/filename.pdf --export-text-to-path "Duplicate the object with id=""path123"", rotate the duplicate 90 degrees, save the file, and quit Inkscape","inkscape path/to/filename.svg --select=path123 --verb=""EditDuplicate;ObjectRotate90;FileSave;FileQuit""" Install a package and its dependencies,tlmgr install package Remove a package and its dependencies,tlmgr remove package Display information about a package,tlmgr info package Update all packages,tlmgr update --all Show possible updates without updating anything,tlmgr update --list Start a GUI version of tlmgr,tlmgr gui List all TeX Live configurations,tlmgr conf Report bugs for a specific package by opening the bug tracker for the specified package,npm bugs package_name Open the bug tracker for the current package by searching for a `package.json` file and using its name,npm bugs Configure the browser used to open URLs by setting your preferred browser for `npm` commands,npm config set browser browser_name "Control URL opening: set `browser` to `true` for the system URL opener, or `false` to print URLs in the terminal",npm config set browser true|false Draw a CIE color chart using the REC709 color system as a PPM image,ppmcie > path/to/output.ppm Specify the color system to be used,ppmcie -cie|ebu|hdtv|ntsc|smpte > path/to/output.ppm Specify the location of the individual illuminants,ppmcie -red|green|blue xpos ypos > path/to/output.ppm Do not dim the area outside the Maxwell triangle,ppmcie -full > path/to/output.ppm Push an Android application to an emulator/device,adb install path/to/file.apk Push an Android application to a specific emulator/device (overrides `$ANDROID_SERIAL`),adb -s serial_number install path/to/file.apk "[r]einstall an existing app, keeping its data",adb install -r path/to/file.apk Push an Android application allowing version code [d]owngrade (debuggable packages only),adb install -d path/to/file.apk [g]rant all permissions listed in the app manifest,adb install -g path/to/file.apk Quickly update an installed package by only updating the parts of the APK that changed,adb install --fastdeploy path/to/file.apk Install the specified assembly into GAC,gacutil -i path/to/assembly.dll Uninstall the specified assembly from GAC,gacutil -i assembly_display_name Print the content of GAC,gacutil -l "Format an XML document, indenting with tabs",xml format --indent-tab path/to/input.xml|URI > path/to/output.xml "Format an HTML document, indenting with 4 spaces",xml format --html --indent-spaces 4 path/to/input.html|URI > path/to/output.html "Recover parsable parts of a malformed XML document, without indenting",xml format --recover --noindent path/to/malformed.xml|URI > path/to/recovered.xml "Format an XML document from `stdin`, removing the `DOCTYPE` declaration",cat path\to\input.xml | xml format --dropdtd > path/to/output.xml "Format an XML document, omitting the XML declaration",xml format --omit-decl path\to\input.xml|URI > path/to/output.xml Display help,xml format --help List all the suboptions for a specific option,virt-xml --option=? "List all the suboptions for disk, network, and boot",virt-xml --disk=? --network=? --boot=? Edit a value for a specific domain,virt-xml domain --edit --option suboption=new_value Change the description for a specific domain,"virt-xml domain --edit --metadata description=""new_description""" Enable/Disable the boot device menu for a specific domain,virt-xml domain --edit --boot bootmenu=on|off Attach host USB hub to a running VM (See: tldr lsusb),virt-xml domain --update --add-device --hostdev bus.device Create a bundle file that contains all objects and references of a specific branch,git bundle create path/to/file.bundle branch_name Create a bundle file of all branches,git bundle create path/to/file.bundle --all Create a bundle file of the last 5 commits of the current branch,git bundle create path/to/file.bundle -5 HEAD Create a bundle file of the latest 7 days,git bundle create path/to/file.bundle --since 7.days HEAD Verify that a bundle file is valid and can be applied to the current repository,git bundle verify path/to/file.bundle Print to `stdout` the list of references contained in a bundle,git bundle unbundle path/to/file.bundle Unbundle a specific branch from a bundle file into the current repository,git pull path/to/file.bundle branch_name Create a new repository from a bundle,git clone path/to/file.bundle Measure the current download speed,fast Measure the current upload speed in addition to download speed,fast --upload Display results on a single line to reduce spacing,fast --single-line Move the specified virtual machine to the current location,VBoxManage movevm vm_name Specify the new location (full or relative pathname) of the virtual machine,VBoxManage movevm vm_name --folder path/to/new_location Print all go processes running locally,gops Print more information about a process,gops pid Display a process tree,gops tree Print the current stack trace from a target program,gops stack pid|addr Print the current runtime memory statistics,gops memstats pid|addr Create a parity archive with a set percentage level of redundancy,par2 create -r1..100 -- path/to/file Create a parity archive with a chosen number of volume files (in addition to the index file),par2 create -n1..32768 -- path/to/file Verify a file with a parity archive,par2 verify -- path/to/file.par2 Repair a file with a parity archive,par2 repair -- path/to/file.par2 Print a report for all devices,sudo blockdev --report Print a report for a specific device,sudo blockdev --report /dev/sdXY Get the size of a device in 512-byte sectors,sudo blockdev --getsz /dev/sdXY Set read-only,sudo blockdev --setro /dev/sdXY Set read-write,sudo blockdev --setrw /dev/sdXY Flush buffers,sudo blockdev --flushbufs /dev/sdXY Get the physical block size,sudo blockdev --getpbsz /dev/sdXY Set the read-ahead value to 128 sectors,sudo blockdev --setra 128 /dev/sdXY Install an SDK version,sdk install sdk_name sdk_version Use a specific SDK version for the current terminal session,sdk use sdk_name sdk_version Show the stable version of any available SDK,sdk current sdk_name Show the stable versions of all installed SDKs,sdk current List all available SDKs,sdk list List all versions of an SDK,sdk list sdk_name Upgrade an SDK to the latest stable version,sdk upgrade sdk_name Uninstall a specific SDK version,sdk rm sdk_name sdk_version Sign in to a 1Password account,op signin List all vaults,op vault list Print item details in JSON format,op item get item_name --format json Create a new item with a category in the default vault,op item create --category category_name Print a referenced secret to `stdout`,op read secret_reference Pass secret references from exported environment variables to a command,op run -- command Pass secret references from an environment file to a command,op run --env-file path/to/env_file.env -- command Read secret references from a file and save plaintext secrets to a file,op inject --in-file path/to/input_file --out-file path/to/output_file Create a codespace in GitHub interactively,gh codespace create List all available codespaces,gh codespace list Connect to a codespace via SSH interactively,gh codespace ssh Transfer a specific file to a codespace interactively,gh codespace cp path/to/source_file remote:path/to/remote_file List the ports of a codespace interactively,gh codespace ports Display the logs from a codespace interactively,gh codespace logs Delete a codespace interactively,gh codespace delete Display help for a subcommand,gh codespace code|cp|create|delete|edit|... --help Decompile a `.dtb` file into a readable `.dts` file,dtc -I dtb -O dts -o path/to/output_file.dts path/to/input_file.dtb Register a repository,mr register Update repositories in 5 concurrent jobs,mr -j5 update Print the status of all repositories,mr status Checkout all repositories to the latest version,mr checkout Disable the ability to commit changes of a local file,git lock path/to/file Start a synchronization session between a local directory and a remote host,mutagen sync create --name=session_name /path/to/local/directory/ user@host:/path/to/remote/directory/ Start a synchronization session between a local directory and a Docker container,mutagen sync create --name=session_name /path/to/local/directory/ docker://user@container_name/path/to/remote/directory/ Stop a running session,mutagen sync terminate session_name Start a project,mutagen project start Stop a project,mutagen project terminate List running sessions for the current project,mutagen project list Mount an MTP device to a directory,jmtpfs path/to/directory Set mount options,"jmtpfs -o allow_other,auto_unmount path/to/directory" List available MTP devices,jmtpfs --listDevices "If multiple devices are present, mount a specific device","jmtpfs -device=bus_id,device_id path/to/directory" Unmount MTP device,fusermount -u path/to/directory Initialize a new documentation in the current directory,docsify init Initialize a new documentation in the specified directory,docsify init path/to/directory Serve local documentation on `localhost:3000` with live reload,docsify serve path/to/directory Serve local documentation on `localhost` at the specified port,docsify serve --port 80 path/to/directory Generate a sidebar markdown file in the specified directory,docsify generate path/to/directory Start a new screen session,screen Start a new named screen session,screen -S session_name Start a new daemon and log the output to `screenlog.x`,screen -dmLS session_name command Show open screen sessions,screen -ls Reattach to an open screen,screen -r session_name Detach from inside a screen," + A, D" Kill the current screen session," + A, K" Kill a detached screen,screen -X -S session_name quit "Perform antialiasing on a PNM image, taking black pixels as background and white pixels as foreground",pnmalias path/to/input.pnm > path/to/output.ppm Explicitly specify the background and foreground color,pnmalias -bcolor background_color -fcolor foreground_color path/to/input.pnm > path/to/output.ppm Apply altialiasing to foreground pixels only,pnmalias -fonly path/to/input.pnm > path/to/output.ppm Apply antialiasing to all surrounding pixels of background pixels,pnmalias -balias path/to/input.pnm > path/to/output.ppm List all LKE clusters,linode-cli lke clusters list Create a new LKE cluster,linode-cli lke clusters create --region region --type type --node-type node_type --nodes-count count View details of a specific LKE cluster,linode-cli lke clusters view cluster_id Update an existing LKE cluster,linode-cli lke clusters update cluster_id --node-type new_node_type Delete an LKE cluster,linode-cli lke clusters delete cluster_id "List all windows, managed by the window manager",wmctrl -l Switch to the first window whose (partial) title matches,wmctrl -a window_title "Move a window to the current workspace, raise it and give it focus",wmctrl -R window_title Switch to a workspace,wmctrl -s workspace_number Select a window and toggle fullscreen,"wmctrl -r window_title -b toggle,fullscreen" Select a window and move it to a workspace,wmctrl -r window_title -t workspace_number Log out from the active account,az logout Log out a specific username,az logout --username alias@somedomain.com Optimize an SVG,svgcleaner input.svg output.svg Optimize an SVG multiple times,svgcleaner --multipass input.svg output.svg Display the default inventory,ansible-inventory --list Display a custom inventory,ansible-inventory --list --inventory path/to/file_or_script_or_directory Display the default inventory in YAML,ansible-inventory --list --yaml Dump the default inventory to a file,ansible-inventory --list --output path/to/file Initialize a database with a scale factor of 50 times the default size,pgbench --initialize --scale=50 database_name "Benchmark a database with 10 clients, 2 worker threads, and 10,000 transactions per client",pgbench --client=10 --jobs=2 --transactions=10000 database_name Create a PPM image of the specified color and dimensions,ppmmake color width height > path/to/output_file.ppm Convert a PBM image to a CMU window manager bitmap,pbmtocmuwm path/to/image.pbm > path/to/output.bmp Compress a file,pbzip2 path/to/file Compress a file using the specified number of processors,pbzip2 -p4 path/to/file [d]ecompress a file,pbzip2 --decompress path/to/compressed_file.bz2 Display help,pbzip2 -h Report stats for all quotas in use,sudo repquota -all "Report quota stats for all users, even those who aren't using any of their quota",sudo repquota -v filesystem Report on quotas for users only,repquota --user filesystem Report on quotas for groups only,sudo repquota --group filesystem Report on used quota and limits in a human-readable format,sudo repquota --human-readable filesystem Report on all quotas for users and groups in a human-readable format,sudo repquota -augs "Start the service, using the default configuration file (assumed to be `frps.ini` in the current directory)",frpc "Start the service, using the newer TOML configuration file (`frps.toml` instead of `frps.ini`) in the current directory",frpc -c|--config ./frps.toml "Start the service, using a specific configuration file",frpc -c|--config path/to/file Check if the configuration file is valid,frpc verify -c|--config path/to/file "Print autocompletion setup script for Bash, fish, PowerShell, or Zsh",frpc completion bash|fish|powershell|zsh Display version,frpc -v|--version Copy your keys to the remote machine,ssh-copy-id username@remote_host Copy the given public key to the remote,ssh-copy-id -i path/to/certificate username@remote_host Copy the given public key to the remote with specific port,ssh-copy-id -i path/to/certificate -p port username@remote_host List all logs in the current project,gcloud logging logs list List all logs for a specific log bucket and location,gcloud logging logs list --bucket=bucket_id --location=location List all logs for a specific view in a log bucket,gcloud logging logs list --bucket=bucket_id --location=location --view=view_id List logs with a filter expression,"gcloud logging logs list --filter=""expression""" List a specified number of logs,gcloud logging logs list --limit=number List logs sorted by a specific field in ascending or descending order (`~` for descending),"gcloud logging logs list --sort-by=""field_name""" List logs sorted by multiple fields,"gcloud logging logs list --sort-by=""field1,~field2""" "List logs with verbose output, showing additional details",gcloud logging logs list --verbosity=debug "Backup a directory via FTPS to a remote machine, encrypting it with a password",FTP_PASSWORD=ftp_login_password PASSPHRASE=encryption_password duplicity path/to/source/directory ftps://user@hostname/target/directory/path/ "Backup a directory to Amazon S3, doing a full backup every month",duplicity --full-if-older-than 1M s3://bucket_name[/prefix] Delete versions older than 1 year from a backup stored on a WebDAV share,FTP_PASSWORD=webdav_login_password duplicity remove-older-than 1Y --force webdav[s]://user@hostname[:port]/some_dir List the available backups,"duplicity collection-status ""file://absolute/path/to/backup/directory""" "List the files in a backup stored on a remote machine, via SSH",duplicity list-current-files --time YYYY-MM-DD scp://user@hostname/path/to/backup/dir Restore a subdirectory from a GnuPG-encrypted local backup to a given location,PASSPHRASE=gpg_key_password duplicity restore --encrypt-key gpg_key_id --path-to-restore relative/path/restoredirectory file://absolute/path/to/backup/directory path/to/directory/to/restore/to List files one per line,colorls -1 "List all files, including hidden files",colorls --all "Long format list (permissions, ownership, size, and modification date) of all files",colorls --long --all Only list directories,colorls --dirs Test a server on port 443,sslscan example.com Test a specified port,sslscan example.com:465 Show certificate information,testssl --show-certificate example.com Open a file with the associated application,open path/to/file.ext Open all the files of a given extension in the current directory with the associated application,open *.ext Open a directory using the default file manager,open path/to/directory Open a website using the default web browser,open https://example.com Open a specific URI using the default application that can handle it,open tel:123 Create an image from a specific container,docker commit container image:tag Apply a `CMD` Dockerfile instruction to the created image,"docker commit --change ""CMD command"" container image:tag" Apply an `ENV` Dockerfile instruction to the created image,"docker commit --change ""ENV name=value"" container image:tag" Create an image with a specific author in the metadata,"docker commit --author ""author"" container image:tag" Create an image with a specific comment in the metadata,"docker commit --message ""comment"" container image:tag" Create an image without pausing the container during commit,docker commit --pause false container image:tag Display help,docker commit --help Run iperf3 as a server,iperf3 -s Run an iperf3 server on a specific port,iperf3 -s -p port Start bandwidth test,iperf3 -c server Run iperf3 in multiple parallel streams,iperf3 -c server -P streams Reverse direction of the test. Server sends data to the client,iperf3 -c server -R Modify an image index,crane index Modify an image index with subcommand,crane index subcommand Display help,crane index -h|--help Copy data to another file,objcopy path/to/source_file path/to/target_file Translate object files from one format to another,objcopy --input-target=input_format --output-target output_format path/to/source_file path/to/target_file Strip all symbol information from the file,objcopy --strip-all path/to/source_file path/to/target_file Strip debugging information from the file,objcopy --strip-debug path/to/source_file path/to/target_file Copy a specific section from the source file to the destination file,objcopy --only-section section path/to/source_file path/to/target_file Generate a `Cargo.lock` file with the latest version of every package,cargo generate-lockfile View network interface statistics since last query,ifstat View network interface statistics since last boot,ifstat -a|--ignore View error rate,ifstat -e|--errors Update the vulnerability database,wpscan --update Scan a WordPress website,wpscan --url url "Scan a WordPress website, using random user agents and passive detection",wpscan --url url --stealthy "Scan a WordPress website, checking for vulnerable plugins and specifying the path to the `wp-content` directory",wpscan --url url --enumerate vp --wp-content-dir remote/path/to/wp-content Scan a WordPress website through a proxy,wpscan --url url --proxy protocol://ip:port --proxy-auth username:password Perform user identifiers enumeration on a WordPress website,wpscan --url url --enumerate u Execute a password guessing attack on a WordPress website,wpscan --url url --usernames username|path/to/usernames.txt --passwords path/to/passwords.txt threads 20 "Scan a WordPress website, collecting vulnerability data from the WPVulnDB ()",wpscan --url url --api-token token Run a command as root,run0 command Run a command as another user and/or group,run0 -u|--user username|uid -g|--group group_name|gid command Switch to superuser (requires the root password),su Switch to a given user (requires the user's password),su username Switch to a given user and simulate a full login shell,su - username Execute a command as another user,"su - username -c ""command""" "Recursively check the current directory, showing progress on the screen and logging error messages to a file",cppcheck . 2> cppcheck.log "Recursively check a given directory, and don't print progress messages",cppcheck --quiet path/to/directory "Check a given file, specifying which tests to perform (by default only errors are shown)",cppcheck --enable error|warning|style|performance|portability|information|all path/to/file.cpp List available tests,cppcheck --errorlist "Check a given file, ignoring specific tests",cppcheck --suppress test_id1 --suppress test_id2 path/to/file.cpp "Check the current directory, providing paths for include files located outside it (e.g. external libraries)",cppcheck -I include/directory_1 -I include/directory_2 . Check a Microsoft Visual Studio project (`*.vcxproj`) or solution (`*.sln`),cppcheck --project path/to/project.sln Mark a package as implicitly installed,sudo pacman --database --asdeps package Mark a package as explicitly installed,sudo pacman --database --asexplicit package Check that all the package dependencies are installed,pacman --database --check Check the repositories to ensure all specified dependencies are available,pacman --database --check --check Display only error messages,pacman --database --check --quiet Display help,pacman --database --help Combine the images whose names match the `printf`-style filename expression. Assume a grid with a specific size,pamundice filename_%1d_%1a.ppm -across grid_width -down grid_height > path/to/output.ppm Assume that the tiles overlap horizontally and vertically by the specified amount,pamundice filename_%1d_%1a.ppm -across x_value -down y_value -hoverlap value -voverlap value > path/to/output.ppm Specify the images to be combined through a text file containing one filename per line,pamundice -listfile path/to/file.txt -across x_value -down y_value > path/to/output.ppm List of all settable options and whether they are set,shopt Set an option,shopt -s option_name Unset an option,shopt -u option_name Print a list of all options and their status formatted as runnable `shopt` commands,shopt -p Display help,help shopt Initialize a LUKS volume with a passphrase (overwrites all data on the partition),cryptsetup luksFormat /dev/sdXY Open a LUKS volume and create a decrypted mapping at `/dev/mapper/mapping_name`,cryptsetup open /dev/sdXY mapping_name Display information about a mapping,cryptsetup status mapping_name Remove an existing mapping,cryptsetup close mapping_name Change a LUKS volume's passphrase,cryptsetup luksChangeKey /dev/sdXY Create a torrent with 2^21 KB as the piece size,mktorrent -a tracker_announce_url -l 21 -o path/to/example.torrent path/to/file_or_directory Create a private torrent with a 2^21 KB piece size,mktorrent -p -a tracker_announce_url -l 21 -o path/to/example.torrent path/to/file_or_directory Create a torrent with a comment,"mktorrent -c ""comment"" -a tracker_announce_url -l 21 -o path/to/example.torrent path/to/file_or_directory" Create a torrent with multiple trackers,"mktorrent -a tracker_announce_url,tracker_announce_url_2 -l 21 -o path/to/example.torrent path/to/file_or_directory" Create a torrent with web seed URLs,mktorrent -a tracker_announce_url -w web_seed_url -l 21 -o path/to/example.torrent path/to/file_or_directory Verify all stored fingerprints for the current user,fprintd-verify Verify a specific fingerprint for the current user,fprintd-verify --finger left-thumb|left-index-finger|left-middle-finger|left-ring-finger|left-little-finger|right-thumb|right-index-finger|right-middle-finger|right-ring-finger|right-little-finger Verify fingerprints for a specific user,fprint-verify username Verify a specific fingerprint for a specific user,fprintd-verify --finger finger_name username Fail the process if a fingerprint doesn't match with ones stored in the database for the current user,fprint-verify --g-fatal-warnings Display help,fprintd-verify --help Print how long the current user has been connected in hours,ac Print how long users have been connected in hours,ac -p Print how long a particular user has been connected in hours,ac -p username Print how long a particular user has been connected in hours per [d]ay (with total),ac -dp username Convert a PGM image file to the SBIG ST-4 format,pgmtost4 path/to/input_file.pgm > path/to/output.st4 "Scan an APK [f]ile for URIs, endpoints, and secrets",apkleaks --file path/to/file.apk Scan and save the [o]utput to a specific file,apkleaks --file path/to/file.apk --output path/to/output.txt Pass `jadx` disassembler [a]rguments,"apkleaks --file path/to/file.apk --args ""--threads-count 5 --deobf""" Produce an SVG and PNG graph,pacgraph Produce an SVG graph,pacgraph --svg Print summary to console,pacgraph --console Override the default filename/location (Note: Do not specify the file extension),pacgraph --file=path/to/file Change the color of packages that are not dependencies,pacgraph --top=color Change the color of package dependencies,pacgraph --dep=color Change the background color of a graph,pacgraph --background=color Change the color of links between packages,pacgraph --link=color Combine several graph layouts (that already have layout information),gvpack path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv "Combine several graph layouts at the graph level, keeping graphs separate",gvpack -g path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv "Combine several graph layouts at the node level, ignoring clusters",gvpack -n path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv Combine several graph layouts without packing,gvpack -u path/to/layout1.gv path/to/layout2.gv ... > path/to/output.gv Display help,gvpack -? Do a dry run and print the configuration to `stdout`,sudo grub-mkconfig Generate the configuration file,sudo grub-mkconfig --output=/boot/grub/grub.cfg Display help,grub-mkconfig --help Display an analog clock,xclock Display a 24-hour digital clock with the hour and minute fields only,xclock -digital -brief Display a digital clock using an strftime format string (see strftime(3)),xclock -digital -strftime format "Display a 24-hour digital clock with the hour, minute and second fields that updates every second",xclock -digital -strftime '%H:%M:%S' -update 1 Display a 12-hour digital clock with the hour and minute fields only,xclock -digital -twelve -brief Generate statistics for a local repository,gitstats path/to/git_repo/.git path/to/output_folder View generated statistics in a web browser on Windows (PowerShell)/macOS/Linux,Invoke-Item|open|xdg-open path/to/output_folder/index.html Create and install a package with default settings,sudo checkinstall --default Create a package but don't install it,sudo checkinstall --install=no Create a package without documentation,sudo checkinstall --nodoc Create a package and set the name,sudo checkinstall --pkgname package Create a package and specify where to save it,sudo checkinstall --pakdir path/to/directory View documentation for the original command,tldr python Configure powerlevel10k interactively,p10k configure Reload powerlevel10k,p10k reload Display help,p10k help Show the status of collected analytics,zapier analytics Change how much information is collected,zapier analytics -m|--mode enabled|anonymous|disabled Show extra debugging output,zapier analytics -m|--mode enabled|anonymous|disabled -d|--debug Measure power with the default of 10 samples with an interval of 10 seconds,powerstat Measure power with custom number of samples and interval duration,powerstat interval number_of_samples Measure power using Intel's RAPL interface,powerstat -R interval number_of_samples Show a histogram of the power measurements,powerstat -H interval number_of_samples Enable all statistics gathering options,powerstat -a interval number_of_samples Display the entire revision history of the repository,hg log Display the revision history with an ASCII graph,hg log --graph Display the revision history with file names matching a specified pattern,hg log --include pattern "Display the revision history, excluding file names that match a specified pattern",hg log --exclude pattern Display the log information for a specific revision,hg log --rev revision Display the revision history for a specific branch,hg log --branch branch Display the revision history for a specific date,hg log --date date Display revisions committed by a specific user,hg log --user user Start `btop`,btop Start `btop` with the specified settings preset,btop --preset 0..9 Start `btop` in TTY mode using 16 colors and TTY-friendly graph symbols,btop --tty_on Start `btop` in 256-color mode instead of 24-bit color mode,btop --low-color Enumerate directories using [c]olored output and a [w]ordlist specifying a target [u]RL,ffuf -c -w path/to/wordlist.txt -u http://target/FUZZ Enumerate webservers of subdomains by changing the position of the keyword,ffuf -w path/to/subdomains.txt -u http://FUZZ.target.com Fuzz with specified [t]hreads (default: 40) and pro[x]ying the traffic and save [o]utput to a file,ffuf -o -w path/to/wordlist.txt -u http://target/FUZZ -t 500 -x http://127.0.0.1:8080 "Fuzz a specific [H]eader (""Name: Value"") and [m]atch HTTP status [c]odes","ffuf -w path/to/wordlist.txt -u http://target.com -H ""Host: FUZZ"" -mc 200" "Fuzz with specified HTTP method and [d]ata, while [f]iltering out comma separated status [c]odes","ffuf -w path/to/postdata.txt -X POST -d ""username=admin\&password=FUZZ"" -u http://target/login.php -fc 401,403" Fuzz multiple positions with multiple wordlists using different modes,ffuf -w path/to/keys:KEY -w path/to/values:VALUE -mode pitchfork|clusterbomb -u http://target.com/id?KEY=VALUE Proxy requests through a HTTP MITM pro[x]y (such as Burp Suite or `mitmproxy`),ffuf -w path/to/wordlist -x http://127.0.0.1:8080 -u http://target.com/FUZZ View documentation for the original command,tldr kcat Show the reflog for HEAD,git reflog Show the reflog for a given branch,git reflog branch_name Show only the 5 latest entries in the reflog,git reflog -n|--max-count 5 List snapshots,sudo timeshift --list Create a new snapshot (if scheduled),sudo timeshift --check Create a new snapshot (even if not scheduled),sudo timeshift --create Restore a snapshot (selecting which snapshot to restore interactively),sudo timeshift --restore Restore a specific snapshot,sudo timeshift --restore --snapshot 'snapshot' Delete a specific snapshot,sudo timeshift --delete --snapshot 'snapshot' Evaluate a simple arithmetic expression,"let ""result = a + b""" Use post-increment and assignment in an expression,"let ""x++""" Use conditional operator in an expression,"let ""result = (x > 10) ? x : 0""" Display help,let --help Convert a PPM image into an Atari Degas PI1 image,ppmtopi1 path/to/image.ppm > path/to/output_image.pi1 Get the hostname of the computer,hostnamectl Set the hostname of the computer,"sudo hostnamectl set-hostname ""hostname""" Set a pretty hostname for the computer,"sudo hostnamectl set-hostname --static ""hostname.example.com"" && sudo hostnamectl set-hostname --pretty ""hostname""" Reset hostname to its default value,"sudo hostnamectl set-hostname --pretty """"" Create a cluster from the configuration specification,kops create cluster -f cluster_name.yaml Create a new SSH public key,kops create secret sshpublickey key_name -i ~/.ssh/id_rsa.pub Export the cluster configuration to the `~/.kube/config` file,kops export kubecfg cluster_name Get the cluster configuration as YAML,kops get cluster cluster_name -o yaml Delete a cluster,kops delete cluster cluster_name --yes Validate a cluster,kops validate cluster cluster_name --wait wait_time_until_ready --count num_required_validations Add a border of the specified size to a PNM image,pnmmargin size path/to/image.pnm > path/to/output.pnm Specify the color of the border,pnmmargin -color color size path/to/image.pnm > path/to/output.pnm "Display packages whose support is limited, has already ended or will end earlier than the distribution's end of life",check-support-status Display only packages whose support has ended,check-support-status --type ended Skip printing a headline,check-support-status --no-heading Display the path to the binary in the default toolchain,rustup which command Display the path to the binary in the specified toolchain (see `rustup help toolchain` for more information),rustup which --toolchain toolchain command Get balance of the account associated with the current context,doctl balance get Get the balance of an account associated with an access token,doctl balance get --access-token access_token Get the balance of an account associated with a specified context,doctl balance get --context List all available boards,pio boards List only boards from installed platforms,pio boards --installed Create a loopback device with the default loopback behavior,pw-loopback Create a loopback device that automatically connects to the speakers,pw-loopback -m '[FL FR]' --capture-props='media.class=Audio/Sink' Create a loopback device that automatically connects to the microphone,pw-loopback -m '[FL FR]' --playback-props='media.class=Audio/Source' Create a dummy loopback device that doesn't automatically connect to anything,pw-loopback -m '[FL FR]' --capture-props='media.class=Audio/Sink' --playback-props='media.class=Audio/Source' Create a loopback device that automatically connects to the speakers and swaps the left and right channels between the sink and source,pw-loopback --capture-props='media.class=Audio/Sink audio.position=[FL FR]' --playback-props='audio.position=[FR FL]' Create a loopback device that automatically connects to the microphone and swaps the left and right channels between the sink and source,pw-loopback --capture-props='audio.position=[FR FL]' --playback-props='media.class=Audio/Source audio.position=[FL FR]' Display help about environment variables that can be used with `gh`,gh environment Add a target to a toolchain,rustup target add --toolchain toolchain target Remove a target from a toolchain,rustup target remove --toolchain toolchain target List available and installed targets for a toolchain,rustup target list --toolchain toolchain List installed targets for a toolchain,rustup target list --toolchain toolchain --installed Generate Go files by running commands within source files,go generate Show the parameters and statistics of all the interfaces,iwconfig Show the parameters and statistics of the specified interface,iwconfig interface Set the ESSID (network name) of the specified interface (e.g. eth0 or wlp2s0),iwconfig interface new_network_name Set the operating mode of the specified interface,iwconfig interface mode Ad-Hoc|Managed|Master|Repeater|Secondary|Monitor|Auto Interactively select a workflow to view the latest jobs for,gh workflow view View a specific workflow in the default browser,gh workflow view id|workflow_name|filename.yml --web Display the YAML definition of a specific workflow,gh workflow view id|workflow_name|filename.yml --yaml Display the YAML definition for a specific Git branch or tag,gh workflow view id|workflow_name|filename.yml --ref branch|tag_name --yaml List workflow files (use `--all` to include disabled workflows),gh workflow list Run a manual workflow with parameters,gh workflow run id|workflow_name|filename.yml --raw-field param1=value1 --raw-field param2=value2 ... Run a manual workflow using a specific branch or tag with JSON parameters from `stdin`,"echo '{""param1"": ""value1"", ""param2"": ""value2"", ...}' | gh workflow run id|workflow_name|filename.yml --ref branch|tag_name" Enable or disable a specific workflow,gh workflow enable|disable id|workflow_name|filename.yml Show all overridden configuration files,systemd-delta Show only files of specific types (comma-separated list),systemd-delta --type masked|equivalent|redirected|overridden|extended|unchanged Show only files whose path starts with the specified prefix (Note: a prefix is a directory containing subdirectories with systemd configuration files),systemd-delta /etc|/run|/usr/lib|... Further restrict the search path by adding a suffix (the prefix is optional),systemd-delta prefix/tmpfiles.d|sysctl.d|systemd/system|... Download a specific torrent,transmission-cli url|magnet|path/to/file Download a torrent to a specific directory,transmission-cli --download-dir path/to/download_directory url|magnet|path/to/file Create a torrent file from a specific file or directory,transmission-cli --new path/to/source_file_or_directory Specify the download speed limit (in KB/s),transmission-cli --downlimit 50 url|magnet|path/to/file Specify the upload speed limit (in KB/s),transmission-cli --uplimit 50 url|magnet|path/to/file Use a specific port for connections,transmission-cli --port port_number url|magnet|path/to/file Force encryption for peer connections,transmission-cli --encryption-required url|magnet|path/to/file Use a Bluetack-formatted peer blocklist,transmission-cli --blocklist blocklist_url|path/to/blocklist url|magnet|path/to/file Display information about physical volumes,pvs Display non-physical volumes,pvs -a Change default display to show more details,pvs -v Display only specific fields,"pvs -o field_name_1,field_name_2" Append field to default display,pvs -o +field_name Suppress heading line,pvs --noheadings Use separator to separate fields,pvs --separator special_character Unlock the current wallet (timeout in seconds),hsw-cli unlock passphrase timeout Lock the current wallet,hsw-cli lock View the current wallet's details,hsw-cli get View the current wallet's balance,hsw-cli balance View the current wallet's transaction history,hsw-cli history Send a transaction with the specified coin amount to an address,hsw-cli send address 1.05 View the current wallet's pending transactions,hsw-cli pending View details about a transaction,hsw-cli tx transaction_hash Execute code style fixing in the current directory,php-cs-fixer fix Execute code style fixing for a specific directory,php-cs-fixer fix path/to/directory Execute code style linting without applying changes,php-cs-fixer fix --dry-run Execute code style fixes using specific rules,php-cs-fixer fix --rules=rules Display the rules that have been applied,php-cs-fixer fix --verbose Output the results in a different format,php-cs-fixer fix --format=txt|json|xml|checkstyle|junit|gitlab Display files that require fixing,php-cs-fixer list-files Describe a rule or ruleset,php-cs-fixer describe rule Print a specific key value,dconf read /path/to/key Print a specific key [d]efault value,dconf read -d /path/to/key Convert a Gould scanner file to a PPM image,gouldtoppm path/to/file.gould > path/to/output.ppm Clone an existing repository into a new directory (the default directory is the repository name),git clone remote_repository_location path/to/directory Clone an existing repository and its submodules,git clone --recursive remote_repository_location Clone only the `.git` directory of an existing repository,git clone --no-checkout remote_repository_location Clone a local repository,git clone --local path/to/local/repository Clone quietly,git clone --quiet remote_repository_location Clone an existing repository only fetching the 10 most recent commits on the default branch (useful to save time),git clone --depth 10 remote_repository_location Clone an existing repository only fetching a specific branch,git clone --branch name --single-branch remote_repository_location Clone an existing repository using a specific SSH command,"git clone --config core.sshCommand=""ssh -i path/to/private_ssh_key"" remote_repository_location" Initialize a new React Native project in a directory of the same name,react-native init project_name Start the metro bundler,react-native start Start the metro bundler with a clean cache,react-native start --reset-cache Build the current application and start it on a connected Android device or emulator,react-native run-android Build the current application and start it on an iOS simulator,react-native run-ios Build the current application in `release` mode and start it on a connected Android device or emulator,react-native run-android --variant=release Start `logkitty` and print logs to `stdout`,react-native log-android Start `tail system.log` for an iOS simulator and print logs to `stdout`,react-native log-ios List installed Java environments,archlinux-java status Return the short name of the current default Java environment,archlinux-java get Set the default Java environment,archlinux-java set java_environment Unset the default Java environment,archlinux-java unset Fix an invalid/broken default Java environment configuration,archlinux-java fix Switch the default Rust toolchain (see `rustup help toolchain` for more information),rustup default toolchain Display the serialVersionUID of a class,serialver classnames Display the serialVersionUID for a colon-separated list of classes and resources,serialver -classpath path/to/directory classname1:classname2:... Use a specific option from reference page of Java application launcher to the Java Virtual Machine,serialver -Joption classnames Cancel a job using its ID,scancel job_id Cancel all jobs from a user,scancel user_name Poison all hosts to intercept packets on [i]nterface for the host,sudo arpspoof -i wlan0 host_ip Poison [t]arget to intercept packets on [i]nterface for the host,sudo arpspoof -i wlan0 -t target_ip host_ip Poison both [t]arget and host to intercept packets on [i]nterface for the host,sudo arpspoof -i wlan0 -r -t target_ip host_ip View documentation for the current command,tldr pnmnorm Display the status of changed files,hg status Display only modified files,hg status --modified Display only added files,hg status --added Display only removed files,hg status --removed Display only deleted (but tracked) files,hg status --deleted Display changes in the working directory compared to a specified changeset,hg status --rev revision Display only files matching a specified glob pattern,hg status --include pattern "Display files, excluding those that match a specified glob pattern",hg status --exclude pattern Display the status of tracing system,trace-cmd stat List available tracers,trace-cmd list -t Start tracing with a specific plugin,trace-cmd start -p timerlat|osnoise|hwlat|blk|mmiotrace|function_graph|wakeup_dl|wakeup_rt|wakeup|function|nop View the trace output,trace-cmd show Stop the tracing but retain the buffers,trace-cmd stop Clear the trace buffers,trace-cmd clear Clear the trace buffers and stop tracing,trace-cmd reset Print route to a destination,ip route get 1.1.1.1 Print route to a destination from a specific source address,ip route get destination from source Print route to a destination for packets arriving on a specific interface,ip route get destination iif eth0 "Print route to a destination, forcing output through a specific interface",ip route get destination oif eth1 Print route to a destination with a specified Type of Service (ToS),ip route get destination tos 0x10 Print route to a destination using a specific VRF (Virtual Routing and Forwarding) instance,ip route get destination vrf myvrf Create a virtual machine with 1 GB RAM and 12 GB storage and start a Debian installation,"virt-install --name vm_name --memory 1024 --disk path=path/to/image.qcow2,size=12 --cdrom path/to/debian.iso" "Create a x86-64, KVM-accelerated, UEFI-based virtual machine with the Q35 chipset, 4 GiB RAM, 16 GiB RAW storage, and start a Fedora installation","virt-install --name vm_name --arch x86_64 --virt-type kvm --machine q35 --boot uefi --memory 4096 --disk path=path/to/image.raw,size=16 --cdrom path/to/fedora.iso" Create a diskless live virtual machine without an emulated sound device or a USB controller. Don't start an installation and don't autoconnect to console but attach a cdrom to it (might be useful for when using a live CD like tails),"virt-install --name vm_name --memory 512 --disk none --controller type=usb,model=none --sound none --autoconsole none --install no_install=yes --cdrom path/to/tails.iso" "Create a virtual machine with 16 GiB RAM, 250 GiB storage, 8 cores with hyperthreading, a specific CPU topology, and a CPU model that shares most features with the host CPU","virt-install --name vm_name --cpu host-model,topology.sockets=1,topology.cores=4,topology.threads=2 --memory 16384 --disk path=path/to/image.qcow2,size=250 --cdrom path/to/debian.iso" Create a virtual machine and kickstart an automated deployment based on Fedora 35 using only remote resources (no ISO required),"virt-install --name vm_name --memory 2048 --disk path=path/to/image.qcow2,size=20 --location=https://download.fedoraproject.org/pub/fedora/linux/releases/35/Everything/x86_64/os/ --extra-args=""inst.ks=https://path/to/valid/kickstart.org""" Open the remote control console,birdc Reload the configuration without restarting BIRD,birdc configure Show the current status of BIRD,birdc show status Show all configured protocols,birdc show protocols Show all details about a protocol,birdc show protocols upstream1 all Show all routes that contain a specific AS number,"birdc ""show route where bgp_path ~ [4242120045]""" Show all best routes,birdc show route primary Show all details of all routes from a given prefix,birdc show route for fd00:/8 all Show detailed configuration of all mounted ZFS zpools,zdb Show detailed configuration for a specific ZFS pool,zdb -C poolname "Show statistics about number, size and deduplication of blocks",zdb -b poolname Create a Distrobox container using the Ubuntu image,distrobox-create container_name --image ubuntu:latest Clone a Distrobox container,distrobox-create --clone container_name cloned_container_name List all sinks and sources with their corresponding IDs,pamixer --list-sinks --list-sources Set the volume to 75% on the default sink,pamixer --set-volume 75 Toggle mute on a sink other than the default,pamixer --toggle-mute --sink ID Increase the volume on default sink by 5%,pamixer --increase 5 Decrease the volume on a source by 5%,pamixer --decrease 5 --source ID "Use the allow boost option to increase, decrease, or set the volume above 100%",pamixer --set-volume 105 --allow-boost Mute the default sink (use `--unmute` instead to unmute),pamixer --mute Edit the crontab file for the current user,crontab -e Edit the crontab file for a specific user,sudo crontab -e -u user Replace the current crontab with the contents of the given file,crontab path/to/file View a list of existing cron jobs for current user,crontab -l Remove all cron jobs for the current user,crontab -r Sample job which runs at 10:00 every day (* means any value),0 10 * * * command_to_execute "Sample crontab entry, which runs a command every 10 minutes",*/10 * * * * command_to_execute "Sample crontab entry, which runs a certain script at 02:30 every Friday",30 2 * * Fri /absolute/path/to/script.sh Show the current ARP table,arp -a [d]elete a specific entry,arp -d address [s]et up a new entry in the ARP table,arp -s address mac_address Compile a source file,nim compile path/to/file.nim Compile and run a source file,nim compile -r path/to/file.nim Compile a source file with release optimizations enabled,nim compile -d:release path/to/file.nim Build a release binary optimized for low file size,nim compile -d:release --opt:size path/to/file.nim Generate HTML documentation for a module (output will be placed in the current directory),nim doc path/to/file.nim Check a file for syntax and semantics,nim check path/to/file.nim List all installed formulae and casks,brew list List files belonging to an installed formula,brew list formula List artifacts of a cask,brew list cask List only formulae,brew list --formula List only casks,brew list --cask Inspect the contents of a certificate,step certificate inspect path/to/certificate.crt Create a root CA certificate and a key (append `--no-password --insecure` to skip private key password protection),"step certificate create ""Example Root CA"" path/to/root-ca.crt path/to/root-ca.key --profile root-ca" Generate a certificate for a specific hostname and sign it with the root CA (generating a CSR can be skipped for simplification),step certificate create hostname.example.com path/to/hostname.crt path/to/hostname.key --profile leaf --ca path/to/root-ca.crt --ca-key path/to/root-ca.key Verify a certificate chain,step certificate verify path/to/hostname.crt --roots path/to/root-ca.crt --verbose Convert a PEM format certificate to DER and write it to disk,step certificate format path/to/certificate.pem --out path/to/certificate.der Install or uninstall a root certificate in the system's default trust store,step certificate install|uninstall path/to/root-ca.crt Create a RSA/EC private and public keypair (append `--no-password --insecure` to skip private key password protection),step crypto keypair path/to/public_key path/to/private_key --kty RSA|EC Show help for subcommands,step path|base64|certificate|completion|context|crl|crypto|oauth|ca|beta|ssh --help Expose a local HTTP service on a given port,ngrok http 80 Expose a local HTTP service on a specific host,ngrok http foo.dev:80 Expose a local HTTPS server,ngrok http https://localhost Expose TCP traffic on a given port,ngrok tcp 22 Expose TLS traffic for a specific host and port,ngrok tls -hostname=foo.com 443 Generate a barcode and save it,"zint --data ""UTF-8 data"" --output path/to/file" Specify a code type for generation,"zint --barcode code_type --data ""UTF-8 data"" --output path/to/file" List all supported code types,zint --types Apply and commit changes following a local patch file,git am path/to/file.patch Apply and commit changes following a remote patch file,curl -L https://example.com/file.patch | git apply Abort the process of applying a patch file,git am --abort "Apply as much of a patch file as possible, saving failed hunks to reject files",git am --reject path/to/file.patch Start `supervisord` with specified configuration file,supervisord -c path/to/file Run supervisord in the foreground,supervisord -n Convert a `.po` file to a `.mo` file,msgfmt path/to/file.po -o path/to/file.mo Compile and install the current package,go install Compile and install a specific local package,go install path/to/package "Install the latest version of a program, ignoring `go.mod` in the current directory",go install golang.org/x/tools/gopls@latest Install a program at the version selected by `go.mod` in the current directory,go install golang.org/x/tools/gopls Check status of currently active interfaces,sudo wg Generate a new private key,wg genkey Generate a public key from a private key,wg pubkey < path/to/private_key > path/to/public_key Generate a public and private key,wg genkey | tee path/to/private_key | wg pubkey > path/to/public_key Show the current configuration of a wireguard interface,sudo wg showconf wg0 Start a REPL (interactive shell),swift repl Execute a program,swift file.swift Start a new project with the package manager,swift package init Generate an Xcode project file,swift package generate-xcodeproj Update dependencies,swift package update Compile project for release,swift build -c release List information about loaded `eBPF` programs,bpftool prog list List `eBPF` program attachments in the kernel networking subsystem,bpftool net list List all active links,bpftool link list "List all `raw_tracepoint`, `tracepoint`, `kprobe` attachments in the system",bpftool perf list List `BPF Type Format (BTF)` data,bpftool btf list List information about loaded maps,bpftool map list "Probe a network device ""eth0"" for supported `eBPF` features",bpftool feature probe dev eth0 Run commands in batch mode from a file,bpftool batch file myfile Start a transient service,sudo systemd-run command argument1 argument2 ... Start a transient service under the service manager of the current user (no privileges),systemd-run --user command argument1 argument2 ... Start a transient service with a custom unit name and description,sudo systemd-run --unit=name --description=string command argument1 argument2 ... Start a transient service that does not get cleaned up after it terminates with a custom environment variable,sudo systemd-run --remain-after-exit --set-env=name=value command argument1 argument2 ... Start a transient timer that periodically runs its transient service (see `man systemd.time` for calendar event format),sudo systemd-run --on-calendar=calendar_event command argument1 argument2 ... Share the terminal with the program (allowing interactive input/output) and make sure the execution details remain after the program exits,systemd-run --remain-after-exit --pty command "Set properties (e.g. CPUQuota, MemoryMax) of the process and wait until it exits",systemd-run --property MemoryMax=memory_in_bytes --property CPUQuota=percentage_of_CPU_time% --wait command Use the program in a shell pipeline,command1 | systemd-run --pipe command2 | command3 Build the package in the current directory and run all tests directly on the system,autopkgtest -- null Run a specific test for the package in the current directory,autopkgtest --test-name=test_name -- null "Download and build a specific package with `apt-get`, then run all tests",autopkgtest package -- null Test the package in the current directory using a new root directory,autopkgtest -- chroot path/to/new/root Test the package in the current directory without rebuilding it,autopkgtest --no-built-binaries -- null Launch a presentation in the terminal from a Markdown file,mdp presentation.md Disable fading transitions,mdp --nofade presentation.md Invert font colors to use in terminals with light background,mdp --invert presentation.md Disable transparency in transparent terminals,mdp --notrans presentation.md Show all accounts used or declared in the default journal file,hledger accounts Show accounts used by transactions,hledger accounts --used Show accounts declared with account directives,hledger accounts --declared "Add new account directives, for accounts used but not declared, to the journal",hledger accounts --undeclared --directives >> 2024-accounts.journal "Show accounts with `asset` in their name, and their declared/inferred types",hledger accounts asset --types Show accounts of the `Asset` type,hledger accounts type:A Show the first two levels of the accounts hierarchy,hledger accounts --tree --depth 2 Short form of the above,hledger acc -t -2 Render specific local pages,clip-view path/to/page1.clip path/to/page2.clip ... Render specific remote pages,clip-view page_name1 page_name2 ... Render pages by a specific render,clip-view --render tldr|tldr-colorful|docopt|docopt-colorful page_name1 page_name2 ... Render pages with a specific color theme,clip-view --theme path/to/local_theme.yaml|remote_theme_name page_name1 page_name2 ... Clear a page or theme cache,clip-view --clear-page|theme-cache Display help,clip-view --help Display version,clip-view --version "View logs of a program, specifying log files, directories or URLs",lnav path/to/log_or_directory|url View logs of a specific remote host (SSH passwordless login required),lnav ssh user@host1.example.com:/var/log/syslog.log Validate the format of log files against the configuration and report any errors,lnav -C path/to/log_directory Play a MIDI file,timidity path/to/file.mid Play a MIDI file in a loop,timidity --loop path/to/file.mid "Play a MIDI file in a specific key (0 = C major/A minor, -1 = F major/D minor, +1 = G major/E minor, etc.)",timidity --force-keysig=-flats|+sharps path/to/file.mid Convert a MIDI file to PCM (WAV) audio,timidity --output-mode=w --output-file=path/to/file.wav path/to/file.mid Convert a MIDI file to FLAC audio,timidity --output-mode=F --output-file=path/to/file.flac path/to/file.mid Convert a PDF file to JPEG,pdftocairo path/to/file.pdf -jpeg Convert to PDF expanding the output to fill the paper,pdftocairo path/to/file.pdf output.pdf -pdf -expand Convert to SVG specifying the first/last page to convert,pdftocairo path/to/file.pdf output.svg -svg -f first_page -l last_page Convert to PNG with 200ppi resolution,pdftocairo path/to/file.pdf output.png -png -r 200 Convert to grayscale TIFF setting paper size to A3,pdftocairo path/to/file.pdf -tiff -gray -paper A3 Convert to PNG cropping x and y pixels from the top-left corner,pdftocairo path/to/file.pdf -png -x x_pixels -y y_pixels Convert a PPM image to an AutoCAD slide,ppmtoacad path/to/file.ppm > path/to/file.acad Convert a PPM image to an AutoCAD binary database import file,ppmtoacad -dxb path/to/file.ppm > path/to/file.dxb Restrict the colors in the output to 8 RGB shades,ppmtoacad -8 path/to/file.ppm > path/to/file.dxb Scan a repository,astronomer tldr-pages/tldr-node-client Scan the maximum amount of stars in the repository,astronomer tldr-pages/tldr-node-client --stars 50 Scan a repository including comparative reports,astronomer tldr-pages/tldr-node-client --verbose Create a new Angular application inside a directory,ng new project_name Add a new component to one's application,ng generate component component_name Add a new class to one's application,ng generate class class_name Add a new directive to one's application,ng generate directive directive_name Run the application with the following command in its root directory,ng serve Build the application,ng build Run unit tests,ng test Display the version of your current Angular installation,ng version Update the ports tree,ports -u List the ports in the current tree,ports -l Check the differences between installed packages and the ports tree,ports -d Open a file,view path/to/file "Replace all occurrences of a character in a file, and print the result",tr find_character replace_character < path/to/file Replace all occurrences of a character from another command's output,echo text | tr find_character replace_character Map each character of the first set to the corresponding character of the second set,tr 'abcd' 'jkmn' < path/to/file Delete all occurrences of the specified set of characters from the input,tr -d 'input_characters' < path/to/file Compress a series of identical characters to a single character,tr -s 'input_characters' < path/to/file Translate the contents of a file to upper-case,"tr ""[:lower:]"" ""[:upper:]"" < path/to/file" Strip out non-printable characters from a file,"tr -cd ""[:print:]"" < path/to/file" "Print an ASCII cow saying ""hello, world""","cowsay ""hello, world""" Print an ASCII cow saying text from `stdin`,"echo ""hello, world"" | cowsay" List all available art types,cowsay -l "Print the specified ASCII art saying ""hello, world""","cowsay -f art ""hello, world""" Print a dead thinking ASCII cow,"cowthink -d ""I'm just a cow, not a great thinker...""" "Print an ASCII cow with custom eyes saying ""hello, world""","cowsay -e characters ""hello, world""" Create a model with 3 fields and a migration file,"sequelize model:generate --name table_name --attributes field1:integer,field2:string,field3:boolean" Run the migration file,sequelize db:migrate Revert all migrations,sequelize db:migrate:undo:all Create a seed file with the specified name to populate the database,sequelize seed:generate --name seed_filename Populate database using all seed files,sequelize db:seed:all Create a new package,stack new package template Compile a package,stack build Run tests inside a package,stack test Compile a project and re-compile every time a file changes,stack build --file-watch Compile a project and execute a command after compilation,"stack build --exec ""command""" Run a program and pass an argument to it,stack exec program -- argument Open a file,micro path/to/file Save a file, + S Cut the entire line, + K Search for a pattern in the file (press `Ctrl + N`/`Ctrl + P` to go to next/previous match)," + F ""pattern"" " Execute a command, + E command Perform a substitution in the whole file," + E replaceall ""pattern"" ""replacement"" " Quit, + Q Start an interactive view,systemd-cgtop Change the sort order,systemd-cgtop --order=cpu|memory|path|tasks|io Show the CPU usage by time instead of percentage,systemd-cgtop --cpu=percentage "Change the update interval in seconds (or one of these time units: `ms`, `us`, `min`)",systemd-cgtop --delay=interval Only count userspace processes (without kernel threads),systemd-cgtop -P Launch the Text-based User Interface,pop Send an email using the content of a Markdown file as body,"pop < path/to/message.md --from me@example.com --to you@example.com --subject ""On the Subject of Ducks..."" --attach path/to/attachment" Display help,pop --help Connect to the default hypervisor,virsh connect Connect as root to the local QEMU/KVM hypervisor,virsh connect qemu:///system Launch a new instance of the hypervisor and connect to it as the local user,virsh connect qemu:///session Connect as root to a remote hypervisor using SSH,virsh connect qemu+ssh://user_name@host_name/system Install Ghost in the current directory,ghost install Start an instance of Ghost,ghost start Restart the Ghost instance,ghost restart Check the system for any potential hiccups while installing or updating Ghost,ghost doctor View the logs of a Ghost instance,ghost log name Run a Ghost instance directly (used by process managers and for debugging),ghost run View running Ghost processes,ghost ls View or edit Ghost configuration,ghost config key value Detect unused secrets,k8s-unused-secret-detector Detect unused secrets in a specific namespace,k8s-unused-secret-detector -n namespace Delete unused secrets in a specific namespace,k8s-unused-secret-detector -n namespace | kubectl delete secret -n namespace Enable one or more modules,magento module:enable module1 module2 ... Disable one or more modules,magento module:disable module1 module2 ... Update the database after enabling modules,magento setup:upgrade Update code and dependency injection configuration,magento setup:di:compile Deploy static assets,magento setup:static-content:deploy Enable maintenance mode,magento maintenance:enable Disable maintenance mode,magento maintenance:disable List all available commands,magento list Clone the package state of the current system into a specified directory,apt-clone clone path/to/directory Create a clone file (`tar.gz`) for backup purposes,apt-clone clone --destination path/to/backup.tar.gz Restore the package state from a clone file,apt-clone restore path/to/backup.tar.gz "Show information about a clone file (e.g., the release, architecture)",apt-clone info path/to/backup.tar.gz Check if the clone file can be restored on the current system,apt-clone restore path/to/backup.tar.gz --destination path/to/restore Change the activation status of logical volumes in all volume groups,sudo vgchange --activate y|n Change the activation status of logical volumes in the specified volume group (determine with `vgscan`),sudo vgchange --activate y|n volume_group Convert a PBM image file to YBM,pbmtoybm path/to/input_file.pbm > path/to/output_file.ybm Change the line endings of a file,mac2unix path/to/file Create a copy with Unix-style line endings,mac2unix -n|--newfile path/to/file path/to/new_file Display file information,mac2unix -i|--info path/to/file Keep/add/remove Byte Order Mark,mac2unix --keep-bom|add-bom|remove-bom path/to/file Terminate a process using the default SIGTERM (terminate) signal,killall process_name [l]ist available signal names (to be used without the 'SIG' prefix),killall -l Interactively ask for confirmation before termination,killall -i process_name "Terminate a process using the SIGINT (interrupt) signal, which is the same signal sent by pressing `Ctrl + C`",killall -INT process_name Force kill a process,killall -KILL process_name Start `screenfetch`,screenfetch Take a screenshot (requires 'scrot'),screenfetch -s Specify distribution logo,screenfetch -A 'distribution_name' Specify distribution logo and text,screenfetch -D 'distribution_name' Strip all color,screenfetch -N Display the current configuration values,cupsctl Display the configuration values of a specific server,cupsctl -h server[:port] Enable encryption on the connection to the scheduler,cupsctl -E Enable or disable debug logging to the `error_log` file,cupsctl --debug-logging|--no-debug-logging Enable or disable remote administration,cupsctl --remote-admin|--no-remote-admin Parse the current debug logging state,cupsctl | grep '^_debug_logging' | awk -F= '{print $2}' List network resources in a region that are used against a subscription quota,az network list-usages List all virtual networks in a subscription,az network vnet list Create a virtual network,az network vnet create --address-prefixes 10.0.0.0/16 --name vnet --resource_group group_name --submet-name subnet --subnet-prefixes 10.0.0.0/24 Enable accelerated networking for a network interface card,az network nic update --accelerated-networking true --name nic --resource-group resource_group Display help,aws codecommit help Display help for a specific command,aws codecommit command help Generate a Psalm configuration,psalm --init Analyze the current working directory,psalm Analyze a specific directory or file,psalm path/to/file_or_directory Analyze a project with a specific configuration file,psalm --config path/to/psalm.xml Include informational findings in the output,psalm --show-info Analyze a project and display statistics,psalm --stats Analyze a project in parallel with 4 threads,psalm --threads 4 View documentation for the updated command,tldr aria2c Reduce a volume's size to 120 GB,lvreduce --size 120G logical_volume Reduce a volume's size by 40 GB as well as the underlying filesystem,lvreduce --size -40G -r logical_volume Convert a TrueVision Targa file to a PPM image,tgatoppm path/to/file.tga > path/to/output.ppm Dump information from the TGA header to `stdout`,tgatoppm --headerdump path/to/file.tga > path/to/output.ppm Write the transparency channel values of the input image to the specified file,tgatoppm --alphaout path/to/transparency_file.pgm path/to/file.tga > path/to/output.ppm Display version,tgatoppm -version Display general help,gh help Display help for the `gh help` subcommand,gh help --help Display help about environment variables that can be used with `gh`,gh help environment Display a markdown reference of all `gh` commands,gh help reference Display help about formatting JSON output from `gh` using `jq`,gh help formatting Display help about using `gh` with MinTTY,gh help mintty Display help for a subcommand,gh help subcommand Display help for a subcommand action,gh help pr create Stop a virtual machine immediately,qm stop VM_ID Stop a virtual machine and wait for at most 10 seconds,qm stop --timeout 10 VM_ID Stop a virtual machine and skip lock (only root can use this option),qm stop --skiplock true VM_ID Stop a virtual machine and don't deactivate storage volumes,qm stop --keepActive true VM_ID Start knockd system daemon,knockd -d Use specified configuration file for knockd,knockd -c path/to/file.configuration "Compare two files, uncompressing them if necessary",zdiff path/to/file1.gz path/to/file2.gz Compare a file to a `gzip` archive with the same name,zdiff path/to/file Synchronize and update all packages,sudo pacman -Syu Install a new package,sudo pacman -S package Remove a package and its dependencies,sudo pacman -Rs package Search the database for packages containing a specific file,"pacman -F ""file_name""" List installed packages and versions,pacman -Q List only the explicitly installed packages and versions,pacman -Qe List orphan packages (installed as dependencies but not actually required by any package),pacman -Qtdq Empty the entire `pacman` cache,sudo pacman -Scc Display battery information,battop Change battery information measurement [u]nit (default: human),battop -u human|si Open a file,most path/to/file Open several files,most path/to/file1 path/to/file2 ... "Open a file at the first occurrence of ""string""",most path/to/file +/string Move through opened files,:O n Jump to the 100th line,100j Edit current file,e Split the current window in half, o Exit,Q Open the terminal in a specific directory,konsole --workdir path/to/directory [e]xecute a specific command and don't close the window after it exits,"konsole --noclose -e ""command""" Open a new tab,konsole --new-tab Open the terminal in the background and bring to the front when `Ctrl+Shift+F12` is pressed,konsole --background-mode Ping a destination with 4 ICMP ping requests,hping3 --icmp --count 4 ip_or_hostname Ping an IP address over UDP on port 80,hping3 --udp --destport 80 --syn ip_or_hostname "Scan TCP port 80, scanning from the specific local source port 5090",hping3 --verbose --syn --destport 80 --baseport 5090 ip_or_hostname Traceroute using a TCP scan to a specific destination port,hping3 --traceroute --verbose --syn --destport 80 ip_or_hostname Scan a set of TCP ports on a specific IP address,"hping3 --scan 80,3000,9000 --syn ip_or_hostname" Perform a TCP ACK scan to check if a given host is alive,hping3 --count 2 --verbose --destport 80 --ack ip_or_hostname Perform a charge test on port 80,hping3 --flood --destport 80 --syn ip_or_hostname Train the bayesian filter to recognise an email as spam,rspamc learn_spam path/to/email_file Train the bayesian filter to recognise an email as ham,rspamc learn_ham path/to/email_file Generate a manual report on an email,rspamc symbols path/to/email_file Show server statistics,rspamc stat Create a Debian Stable directory chroot,sudo mmdebstrap stable path/to/debian-root/ Create a Debian Bookworm tarball chroot using a mirror,mmdebstrap bookworm path/to/debian-bookworm.tar http://mirror.example.org/debian Create a Debian Sid tarball chroot with additional packages,"mmdebstrap sid path/to/debian-sid.tar --include=pkg1,pkg2" Start the daemon required to run other commands,ollama serve Run a model and chat with it,ollama run model Run a model with a single prompt,ollama run model prompt List downloaded models,ollama list Pull/Update a specific model,ollama pull model List running models,ollama ps Delete a model,ollama rm model Create a model from a `Modelfile` ([f]),ollama create new_model_name -f path/to/Modelfile Pack unpacked objects in the current directory,git repack Also remove redundant objects after packing,git repack -d Send a message to a given user on a given terminal ID,write username terminal_id "Send message to ""testuser"" on terminal `/dev/tty/5`",write testuser tty/5 "Send message to ""johndoe"" on pseudo terminal `/dev/pts/5`",write johndoe pts/5 Convert a raw greyscale image to a PGM image,rawtopgm width height path/to/image.raw > path/to/output.pgm "Convert a raw greyscale image to a PGM image, assume the image to be a square",rawtopgm path/to/image.raw > path/to/output.pgm Convert a raw greyscale image in which the pixels come bottom-first instead of top-first to a PGM image,rawtopgm width height -bottomfirst path/to/image.raw > path/to/output.pgm Ignore the first n bytes of the specified file,rawtopgm width height -headerskip n path/to/image.raw > path/to/output.pgm Ignore the last m bytes of each row in the specified file,rawtopgm width height -rowskip m path/to/image.raw > path/to/output.pgm Specify the maxval for the grey values in the input to be equal to N,rawtopgm width height -maxval N path/to/image.raw > path/to/output.pgm Specify the number of bytes that represent each sample in the input and that the byte-sequence is to be interpreted as little-endian,rawtopgm width height -bpp 1|2 -littleendian path/to/image.raw > path/to/output.pgm Add the default SSH keys in `~/.ssh` to the ssh-agent,ssh-add Add a specific key to the ssh-agent,ssh-add path/to/private_key List fingerprints of currently loaded keys,ssh-add -l Delete a key from the ssh-agent,ssh-add -d path/to/private_key Delete all currently loaded keys from the ssh-agent,ssh-add -D Add a key to the ssh-agent and the keychain,ssh-add -K path/to/private_key View documentation for the original command,tldr chromium Freeze one or more specified stages,dvc freeze stage_name1 stage_name2 ... Scan a Git repository for verified secrets,trufflehog git https://github.com/trufflesecurity/test_keys --only-verified Scan a GitHub organization for verified secrets,trufflehog github --org=trufflesecurity --only-verified Scan a GitHub repository for verified keys and get JSON output,trufflehog git https://github.com/trufflesecurity/test_keys --only-verified --json Scan a GitHub repository along with its Issues and Pull Requests,trufflehog github --repo=https://github.com/trufflesecurity/test_keys --issue-comments --pr-comments Scan an S3 bucket for verified keys,trufflehog s3 --bucket=bucket name --only-verified Scan S3 buckets using IAM Roles,trufflehog s3 --role-arn=iam-role-arn Scan individual files or directories,trufflehog filesystem path/to/file_or_directory1 path/to/file_or_directory2 ... Scan a Docker image for verified secrets,trufflehog docker --image trufflesecurity/secrets --only-verified View documentation for the current command,tldr pbmtoxbm Invert the colors or greyscale values in a PNM image,pnminvert path/to/input.pnm > path/to/output.pnm Start the graphical user interface (GUI) version of Bleachbit,bleachbit --gui Shred a file,bleachbit --shred path/to/file List available cleaner options,bleachbit --list-cleaners Preview the files that will be deleted and other changes that will be made before actually performing the clean-up operation,bleachbit --preview --preset|cleaner1.option1 cleaner2.* ... Perform the clean-up operation and delete files,bleachbit --clean --preset|cleaner1.option1 cleaner2.* ... Format Go source files in the current directory,go fmt Format a specific Go package in your import path (`$GOPATH/src`),go fmt path/to/package Format the package in the current directory and all subdirectories (note the `...`),go fmt ./... "Print what format commands would've been run, without modifying anything",go fmt -n Print which format commands are run as they are run,go fmt -x Compile multiple source files into an executable,clang path/to/source1.c path/to/source2.c ... -o|--output path/to/output_executable Activate output of all errors and warnings,clang path/to/source.c -Wall -o|--output output_executable "Show common warnings, debug symbols in output, and optimize without affecting debugging",clang path/to/source.c -Wall -g|--debug -Og -o|--output path/to/output_executable Include libraries from a different path,clang path/to/source.c -o|--output path/to/output_executable -Ipath/to/header -Lpath/to/library -llibrary_name Compile source code into LLVM Intermediate Representation (IR),clang -S|--assemble -emit-llvm path/to/source.c -o|--output path/to/output.ll Compile source code into an object file without linking,clang -c|--compile path/to/source.c Optimize the compiled program for performance,clang path/to/source.c -O1|2|3|fast -o|--output path/to/output_executable Display version,clang --version Execute,yadm init Override the worktree,yadm init -w path/to/worktree_folder Overwrite an existing repository,yadm init -f path/to/local_repository Display data about a Git repository,git summary Display data about a Git repository since a commit-ish,git summary commit|branch_name|tag_name "Display data about a Git repository, merging committers using different emails into 1 statistic for each author",git summary --dedup-by-email "Display data about a Git repository, showing the number of lines modified by each contributor",git summary --line "Launch with default, built-in config",conky Create a new default config,conky -C > ~/.conkyrc Launch Conky with a given configuration file,conky -c path/to/config Start in the background (daemonize),conky -d Align Conky on the desktop,conky -a top|bottom|middle_left|right|middle Pause for 5 seconds at startup before launching,conky -p 5 Sign into a Fly account,flyctl auth login Launch an application from a specific Dockerfile (the default path is the current working directory),flyctl launch --dockerfile path/to/dockerfile Open the current deployed application in the default web browser,flyctl open Deploy the Fly applications from a specific Dockerfile,flyctl deploy --dockerfile path/to/dockerfile Open the Fly Web UI for the current application in a web browser,flyctl dashboard List all applications in the logged-in Fly account,flyctl apps list View the status of a specific running application,flyctl status --app app_name Display version information,flyctl version Display information about a specific undo file,e2undo -h path/to/undo_file /dev/sdXN Perform a dry-run and display the candidate blocks for replaying,e2undo -nv path/to/undo_file /dev/sdXN Perform an undo operation,e2undo path/to/undo_file /dev/sdXN Perform an undo operation and display verbose information,e2undo -v path/to/undo_file /dev/sdXN Write the old contents of the block to an undo file before overwriting a file system block,e2undo -z path/to/file.e2undo path/to/undo_file /dev/sdXN "Generate tags for a single file, and output them to a file named ""tags"" in the current directory, overwriting the file if it exists",ctags path/to/file "Generate tags for all files in the current directory, and output them to a specific file, overwriting the file if it exists",ctags -f path/to/file * Generate tags for all files in the current directory and all subdirectories,ctags --recurse "Generate tags for a single file, and output them with start line number and end line number in JSON format",ctags --fields=+ne --output-format=json path/to/file Apply default optimizations and write to a given file,wasm-opt -O input.wasm -o output.wasm "Apply all optimizations and write to a given file (takes more time, but generates optimal code)",wasm-opt -O4 input.wasm -o output.wasm Optimize a file for size,wasm-opt -Oz input.wasm -o output.wasm Print the textual representation of the binary to console,wasm-opt input.wasm --print "Print the hexadecimal representation of a file, replacing duplicate lines by '*'",hexdump path/to/file Display the input offset in hexadecimal and its ASCII representation in two columns,hexdump -C path/to/file "Display the hexadecimal representation of a file, but interpret only n bytes of the input",hexdump -C -nnumber_of_bytes path/to/file Don't replace duplicate lines with '*',hexdump --no-squeezing path/to/file "Process input with equations, saving the output for future typesetting with groff to PostScript",eqn path/to/input.eqn > path/to/output.roff Typeset an input file with equations to PDF using the [me] macro package,eqn -T pdf path/to/input.eqn | groff -me -T pdf > path/to/output.pdf "Commit all staged changes, opening the editor specified by `$EDITOR` to enter the commit message",dolt commit Commit all staged changes with the specified message,"dolt commit --message ""commit_message""" Stage all unstaged changes to tables before committing,dolt commit --all Use the specified ISO 8601 commit date (defaults to current date and time),"dolt commit --date ""2021-12-31T00:00:00""" Use the specified author for the commit,"dolt commit --author ""author_name """ "Allow creating an empty commit, with no changes",dolt commit --allow-empty Ignore foreign key warnings,dolt commit --force Capture all the events from the live system and print them to screen,sysdig Capture all the events from the live system and save them to disk,sysdig -w path/to/file.scap Read events from a file and print them to screen,sysdig -r path/to/file.scap Filter and Print all the open system calls invoked by cat,sysdig proc.name=cat and evt.type=open Register any found plugin and use dummy as input source passing to it open params,sysdig -I dummy:'parameter' List the available chisels,sysdig -cl Use the spy_ip chisel to look at the data exchanged with ip address,sysdig -c spy_ip ip_address Execute a command on a remote host,rsh remote_host ls -l Execute a command on a remote host with a specific username,rsh remote_host -l username ls -l Redirect `stdin` to `/dev/null` when executing a command on a remote host,rsh remote_host --no-err ls -l Generate a left-to-right greyscale map,pgmtexture -lr > path/to/output.pgm Generate a top-to-bottom greyscale map,pgmtexture -tb > path/to/output.pgm Generate a rectangular greyscale map,pgmtexture -rectangle > path/to/output.pgm Generate a elliptical greyscale map,pgmtexture -ellipse path/to/image.pgm > path/to/output.pgm Generate a greyscale map from the top-left corner to the bottom-right corner,pgmtexture -diagonal path/to/image.pgm > path/to/output.pgm Look up the canonical name associated with an email address,"git check-mailmap """"" Convert an XV thumbnail image file to PPM,xvminitoppm path/to/input_file > path/to/output_file.ppm Start with authentication via SSH at `127.0.0.1` with port `22` enabled,cockpit-ws --local-ssh Start an HTTP server on a specific port,cockpit-ws --port port Start and bind to a specific IP address (defaults to `0.0.0.0`),cockpit-ws --address ip_address Start without TLS,cockpit-ws --no-tls Display help,cockpit-ws --help Start an interactive shell session,nu Execute specific commands,"nu --commands ""echo 'nu is executed'""" Execute a specific script,nu path/to/script.nu Execute a specific script with logging,nu --log-level error|warn|info|debug|trace path/to/script.nu "Parse the specified UUIDs, use a tabular output format",uuidparse uuid1 uuid2 ... Parse UUIDs from `stdin`,command | uuidparse Use the JSON output format,uuidparse --json uuid1 uuid2 ... Do not print a header line,uuidparse --noheadings uuid1 uuid2 ... Use the raw output format,uuidparse --raw uuid1 uuid2 ... Specify which of the four output columns to print,"uuidparse --output UUID,VARIANT,TYPE,TIME" Display help,uuidparse -h Merge each row in an image with its two neighbours,pammixinterlace path/to/image.ppm > path/to/output.ppm Use the specified filtering mechanism,pammixinterlace -filter linear|fir|ffmpeg path/to/image.ppm > path/to/output.ppm "Turn on adaptive filtering mode, i.e., only modify pixels that are obviously part of a comb pattern",pammixinterlace -adaptive path/to/image.ppm > path/to/output.ppm [l]isten on a specified [p]ort and print any data received,cryptcat -k password -l -p port Connect to a certain port,cryptcat -k password ip_address port Specify the timeout ([w]),cryptcat -k password -w timeout_in_seconds ip_address port Scan ([z]) the open ports of a specified host,cryptcat -v -z ip_address port Act as proxy and forward data from a local TCP port to the given remote host,cryptcat -k password -l -p local_port | cryptcat -k password hostname remote_port Add files/directories to a specific archive ([r]ecursively),zip -r path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... Remove files/directories from a specific archive ([d]elete),zip -d path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... Archive files/directories e[x]cluding specified ones,zip -r path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... -x path/to/excluded_files_or_directories "Archive files/directories with a specific compression level (`0` - the lowest, `9` - the highest)",zip -r -0..9 path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... Create an [e]ncrypted archive with a specific password,zip -r -e path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... Archive files/directories to a multi-part [s]plit Zip archive (e.g. 3 GB parts),zip -r -s 3g path/to/compressed.zip path/to/file_or_directory1 path/to/file_or_directory2 ... Print a specific archive contents,zip -sf path/to/compressed.zip Clone the specified VM,VBoxManage clonevm vm_name Specify a new name for the new VM,VBoxManage clonevm vm_name --name new_vm_name Indicate the folder where the new VM configuration is saved,VBoxManage clonevm vm_name --basefolder path/to/directory Register the cloned VM in VirtualBox,VBoxManage clonevm vm_name --register Update all packages in the current project,npm update Update a specific package in the current project,npm update package Update a package globally,npm update -g package Update multiple packages at once,npm update package1 package2 ... Edit the sudoers file,sudo visudo Check the sudoers file for errors,sudo visudo -c Edit the sudoers file using a specific editor,sudo EDITOR=editor visudo Display version information,visudo --version Convert an SPC file to a PPM image,spctoppm path/to/input.spc > path/to/output.ppm Show status of all minions,salt-run manage.status Show all minions which are disconnected,salt-run manage.up Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc winrm 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Specify the domain to authenticate to (avoids an initial SMB connection),nxc winrm 192.168.178.2 -u username -p password -d domain_name Execute the specified command on the host,nxc winrm 192.168.178.2 -u username -p password -x whoami Execute the specified PowerShell command on the host as administrator using LAPS,nxc winrm 192.168.178.2 -u username -p password --laps -X whoami "Return an exit status of 0 if the current device is likely a laptop, else returns 1",laptop-detect Print the type of device that the current system is detected as,laptop-detect --verbose Display version,laptop-detect --version Replace the input file with its stripped version,strip path/to/file "Strip symbols from a file, saving the output to a specific file",strip path/to/input_file -o path/to/output_file Strip debug symbols only,strip --strip-debug path/to/file.o Install or update a specific toolchain (see `rustup help toolchain` for more information),rustup install toolchain Erase the existence of specific files,git obliterate file_1 file_2 ... Erase the existence of specific files between 2 commits,git obliterate file_1 file_2 ... -- commit_hash_1..commit_hash_2 List available subcommands (or create a new project if no configuration exists),hardhat Compile the current project and build all artifacts,hardhat compile Run a user-defined script after compiling the project,hardhat run path/to/script.js Run Mocha tests,hardhat test Run all given test files,hardhat test path/to/file1.js path/to/file2.js Start a local Ethereum JSON-RPC node for development,hardhat node Start a local Ethereum JSON-RPC node with a specific hostname and port,hardhat node --hostname hostname --port port Clean the cache and all artifacts,hardhat clean Scale up a PAM image by the specified decimal factor,pamstretch-gen N path/to/image.pam > path/to/output.pam List all available platforms in the package repository,tlmgr platform list Add the executables for a specific platform,sudo tlmgr platform add platform Remove the executables for a specific platform,sudo tlmgr platform remove platform Auto-detect and switch to the current platform,sudo tlmgr platform set auto Switch to a specific platform,sudo tlmgr platform set platform Convert binary CD into a standard iso9960 image file,bchunk path/to/image.bin path/to/image.cue path/to/output Convert with verbose mode,bchunk -v path/to/image.bin path/to/image.cue path/to/output Output audio files in WAV format,bchunk -w path/to/image.bin path/to/image.cue path/to/output Create a new Azure Pipeline (YAML based),az pipelines create --org organization_url --project project_name --name pipeline_name --description description --repository repository_name --branch branch_name Delete a specific pipeline,az pipelines delete --org organization_url --project project_name --id pipeline_id List pipelines,az pipelines list --org organization_url --project project_name Enqueue a specific pipeline to run,az pipelines run --org organization_url --project project_name --name pipeline_name Get the details of a specific pipeline,az pipelines show --org organization_url --project project_name --name pipeline_name Update a specific pipeline,az pipelines update --org organization_url --project project_name --name pipeline_name --new-name pipeline_new_name --new-folder-path user1/production_pipelines List all agents in a pool,az pipelines agent list --org organization_url --pool-id agent_pool Analyze the dependencies of a `.jar` or `.class` file,jdeps path/to/filename.class Print a summary of all dependencies of a specific `.jar` file,jdeps path/to/filename.jar -summary Print all class-level dependencies of a `.jar` file,jdeps path/to/filename.jar -verbose Output the results of the analysis in a DOT file into a specific directory,jdeps path/to/filename.jar -dotoutput path/to/directory Display help,jdeps --help Download files from a `mega.nz` link into the current directory,megatools-dl https://mega.nz/... Download files from a `mega.nz` link into a specific directory,megatools-dl --path path/to/directory https://mega.nz/... Interactively choose which files to download,megatools-dl --choose-files https://mega.nz/... Limit the download speed in KiB/s,megatools-dl --limit-speed speed https://mega.nz/... Perform checks and create a `.crate` file (equivalent of `cargo publish --dry-run`),cargo package Display what files would be included in the tarball without actually creating it,cargo package --list Run the default task process,grunt Run one or more tasks,grunt task1 task2 ... Specify an alternative configuration file,grunt --gruntfile path/to/file Specify an alternative base path for relative files,grunt --base path/to/directory Specify an additional directory to scan for tasks in,grunt --tasks path/to/directory Perform a dry-run without writing any files,grunt --no-write Display help,grunt --help Remove unused variables from a single file and display the diff,autoflake --remove-unused-variables path/to/file.py Remove unused imports from multiple files and display the diffs,autoflake --remove-all-unused-imports path/to/file1.py path/to/file2.py ... "Remove unused variables from a file, overwriting the file",autoflake --remove-unused-variables --in-place path/to/file.py "Remove unused variables recursively from all files in a directory, overwriting each file",autoflake --remove-unused-variables --in-place --recursive path/to/directory Show all outputs and configuration files to attach to a bug report,kscreen-console bug Show paths to KScreen configuration files,kscreen-console config Show KScreen output information and configuration,kscreen-console outputs Monitor for changes,kscreen-console monitor Show the current KScreen configuration as JSON,kscreen-console json Display help,kscreen-console --help Display help including Qt specific command-line options,kscreen-console --help-all Check if an IP address is allowed to send an e-mail from the specified e-mail address,spfquery -ip 8.8.8.8 -sender sender@example.com Turn on debugging output,spfquery -ip 8.8.8.8 -sender sender@example.com --debug Merge a specific patch into CVS,git cvsexportcommit -v -c -w path/to/project_cvs_checkout commit_sha1 Display help for a subcommand,gcrane help command Display help,gcrane help -h|--help View documentation for the current command,tldr bmptopnm "Display general information about a binary (architecture, type, endianness)",rabin2 -I path/to/binary Display linked libraries,rabin2 -l path/to/binary Display symbols imported from libraries,rabin2 -i path/to/binary Display strings contained in the binary,rabin2 -z path/to/binary Display the output in JSON,rabin2 -j -I path/to/binary Open a file,zathura path/to/file Navigate left/up/down/right,H|J|K|L|arrow keys Rotate,r Invert Colors, + R Search for text by a given string,/string Create/delete bookmarks,:bmark|bdelete bookmark_name List bookmarks,:blist Create a keystore,keytool -genkeypair -v -keystore path/to/file.keystore -alias key_name Change a keystore password,keytool -storepasswd -keystore path/to/file.keystore Change a key's password inside a specific keystore,keytool -keypasswd -alias key_name -keystore path/to/file.keystore Activate a service when a specific socket is connected,systemd-socket-activate path/to/socket.service Activate multiple sockets for a service,systemd-socket-activate path/to/socket1.service path/to/socket2.service Pass environment variables to the service being activated,SYSTEMD_SOCKET_ACTIVATION=1 systemd-socket-activate path/to/socket.service Activate a service along with a notification socket,systemd-socket-activate path/to/socket.socket path/to/service.service Activate a service with a specified port,systemd-socket-activate path/to/socket.service -l 8080 Start a remote interactive shell on the emulator or device,adb shell Get all the properties from emulator or device,adb shell getprop Revert all runtime permissions to their default,adb shell pm reset-permissions Revoke a dangerous permission for an application,adb shell pm revoke package permission Trigger a key event,adb shell input keyevent keycode Clear the data of an application on an emulator or device,adb shell pm clear package Start an activity on emulator or device,adb shell am start -n package/activity Start the home activity on an emulator or device,adb shell am start -W -c android.intent.category.HOME -a android.intent.action.MAIN Display a specific issue,glab issue view issue_number Display a specific issue in the default web browser,glab issue view issue_number --web Create a new issue in the default web browser,glab issue create --web List the last 10 issues with the `bug` label,"glab issue list --per-page 10 --label ""bug""" List closed issues made by a specific user,glab issue list --closed --author username Reopen a specific issue,glab issue reopen issue_number Define a property (like compute/zone) for the current configuration,gcloud config set property value Fetch the value of a `gcloud` property,gcloud config get property Display all the properties for the current configuration,gcloud config list Create a new configuration with a given name,gcloud config configurations create configuration_name Display a list of all available configurations,gcloud config configurations list Switch to an existing configuration with a given name,gcloud config configurations activate configuration_name Remove a file after a single-pass overwriting with random data,srm -s path/to/file Remove a file after seven passes of overwriting with random data,srm -m path/to/file Recursively remove a directory and its contents overwriting each file with a single-pass of random data,srm -r -s path/to/directory Prompt before every removal,srm -i \* Read a man page for a command that is provided by a specified package,debman -p package command Specify a package version to download,debman -p package=version command Read a man page in a `.deb` file,debman -f path/to/filename.deb command Generate a graph to `pw.dot` file,pw-dot Read objects from `pw-dump` JSON file,pw-dot -j|--json path/to/file.json "Specify an [o]utput file, showing all object types",pw-dot --output path/to/file.dot -a|--all "Print `.dot` graph to `stdout`, showing all object properties",pw-dot --output - -d|--detail "Generate a graph from a [r]emote instance, showing only linked objects",pw-dot --remote remote_name -s|--smart "Lay the graph from left to right, instead of dot's default top to bottom",pw-dot -L|--lr Lay the graph using 90-degree angles in edges,pw-dot -9|--90 Display help,pw-dot --help List existing links with their status,networkctl list Show an overall network status,networkctl status Bring network devices up,networkctl up interface1 interface2 ... Bring network devices down,networkctl down interface1 interface2 ... Renew dynamic configurations (e.g. IP addresses received from a DHCP server),networkctl renew interface1 interface2 ... Reload configuration files (.netdev and .network),networkctl reload "Reconfigure network interfaces (if you edited the config, you need to call `networkctl reload` first)",networkctl reconfigure interface1 interface2 ... Produce a complete HTML document from a source code file,highlight --out-format=html --style theme_name --syntax language path/to/source_code "Produce an HTML fragment, suitable for inclusion in a larger document",highlight --out-format=html --fragment --syntax language source_file Inline the CSS styling in every tag,highlight --out-format=html --inline-css --syntax language source_file "List all supported languages, themes, or plugins",highlight --list-scripts langs|themes|plugins Print a CSS stylesheet for a theme,highlight --out-format=html --print-style --style theme_name --syntax language] --stdout View documentation for the original command,tldr gcc Ping the specified URL,httping -g url Ping the web server on `host` and `port`,httping -h host -p port Ping the web server on `host` using a TLS connection,httping -l -g https://host Ping the web server on `host` using HTTP basic authentication,httping -g http://host -U username -P password "Run a benchmark for `30` seconds, using `12` threads, and keeping `400` HTTP connections open","wrk -t12 -c400 -d30s ""http://127.0.0.1:8080/index.html""" Run a benchmark with a custom header,"wrk -t2 -c5 -d5s -H ""Host: example.com"" ""http://example.com/index.html""" Run a benchmark with a request timeout of `2` seconds,"wrk -t2 -c5 -d5s --timeout 2s ""http://example.com/index.html""" Import a certificate,aws acm import-certificate --certificate-arn certificate_arn --certificate certificate --private-key private_key --certificate-chain certificate_chain List certificates,aws acm list-certificates Describe a certificate,aws acm describe-certificate --certificate-arn certificate_arn Request a certificate,aws acm request-certificate --domain-name domain_name --validation-method validation_method Delete a certificate,aws acm delete-certificate --certificate-arn certificate_arn List certificate validations,aws acm list-certificates --certificate-statuses status Get certificate details,aws acm get-certificate --certificate-arn certificate_arn Update certificate options,aws acm update-certificate-options --certificate-arn certificate_arn --options options Send a GET request,xh httpbin.org/get "Send a POST request with a JSON body (key-value pairs are added to a top-level JSON object - e.g. `{""name"": ""john"", ""age"": 25}`)",xh post httpbin.org/post name=john age:=25 Send a GET request with query parameters (e.g. `first_param=5&second_param=true`),xh get httpbin.org/get first_param==5 second_param==true Send a GET request with a custom header,xh get httpbin.org/get header-name:header-value Make a GET request and save the response body to a file,xh --download httpbin.org/json --output path/to/file Show equivalent `curl` command (this will not send any request),xh --curl|curl-long --follow --verbose get http://example.com user-agent:curl Display the status of a specific virtual machine,qm status vm_id Display detailed status of a specific virtual machine,qm status --verbose true vm_id [L]ist available modules for the specified protocol,nxc smb|ssh|ldap|ftp|wmi|winrm|rdp|vnc|mssql -L List the options available for the specified module,nxc smb|ssh|ldap|ftp|wmi|winrm|rdp|vnc|mssql -M module_name --options Specify an option for a module,nxc smb|ssh|ldap|ftp|wmi|winrm|rdp|vnc|mssql -M module_name -o OPTION_NAME=option_value View the options available for the specified protocol,nxc smb|ssh|ldap|ftp|wmi|winrm|rdp|vnc|mssql --help View documentation for the original command,tldr strings Log in to gist on this computer,gist --login Create a gist from any number of text files,gist file.txt file2.txt Create a private gist with a description,"gist --private --description ""A meaningful description"" file.txt" Read contents from `stdin` and create a gist from it,"echo ""hello world"" | gist" List your public and private gists,gist --list List all public gists for any user,gist --list username Update a gist using the ID from URL,gist --update GIST_ID file.txt Create a new Ember application,ember new my_new_app Create a new Ember addon,ember addon my_new_addon Build the project,ember build Build the project in production mode,ember build -prod Run the development server,ember serve Run the test suite,ember test Run a blueprint to generate something like a route or component,ember generate type name Install an ember-cli addon,ember install name_of_addon Suspend a virtual machine by ID,qm suspend vm_id integer Skip the lock check when suspending the VM,qm suspend vm_id integer --skiplock Skip the lock check for storage when suspending the VM,qm suspend vm_id integer --skiplockstorage Run glow and select a file to view,glow Render a Markdown file to the terminal,glow path/to/file View a Markdown file using a paginator,glow -p path/to/file View a file from a URL,glow https://example.com/file.md View a GitHub/GitLab README,glow github.com/owner/repository Scan the current local network,arp-scan --localnet Scan an IP network with a custom bitmask,arp-scan 192.168.1.1/24 Scan an IP network within a custom range,arp-scan 127.0.0.0-127.0.0.31 Scan an IP network with a custom net mask,arp-scan 10.0.0.0:255.255.255.0 Synchronize and update all packages,aurman --sync --refresh --sysupgrade Synchronize and update all packages without show changes of `PKGBUILD` files,aurman --sync --refresh --sysupgrade --noedit Install a new package,aurman --sync package Install a new package without show changes of `PKGBUILD` files,aurman --sync --noedit package Install a new package without prompting,aurman --sync --noedit --noconfirm package Search the package database for a keyword from the official repositories and AUR,aurman --sync --search keyword Remove a package and its dependencies,aurman --remove --recursive --nosave package Clear the package cache (use two `--clean` flags to clean all packages),aurman --sync --clean Delete an image reference from its registry,crane delete image_name Display help,crane delete -h|--help Configure a bucket as a static website,aws s3 website s3://bucket-name --index-document index.html Configure an error page for the website,aws s3 website s3://bucket-name --index-document index.html --error-document error.html "List all NetworkManager connections (shows name, UUID, type and device)",nmcli connection Activate a connection,nmcli connection up uuid uuid Deactivate a connection,nmcli connection down uuid uuid Create an auto-configured dual stack connection,nmcli connection add ifname interface_name type ethernet ipv4.method auto ipv6.method auto Create a static IPv6-only connection,nmcli connection add ifname interface_name type ethernet ip6 2001:db8::2/64 gw6 2001:db8::1 ipv6.dns 2001:db8::1 ipv4.method ignore Create a static IPv4-only connection,nmcli connection add ifname interface_name type ethernet ip4 10.0.0.7/8 gw4 10.0.0.1 ipv4.dns 10.0.0.1 ipv6.method ignore Create a VPN connection using OpenVPN from an OVPN file,nmcli connection import type openvpn file path/to/vpn_config.ovpn Register a machine with the Tarsnap server,sudo tarsnap-keygen --keyfile path/to/file.key --user user_email --machine machine_name Encrypt the key file (a passphrase will be requested twice),sudo tarsnap-keygen --keyfile path/to/file.key --user user_email --machine machine_name --passphrased Delete a snapshot,qm delsnapshot vm_id snapshot_name Delete a snapshot from a configuration file (even if removing the disk snapshot fails),qm delsnapshot vm_id snapshot_name --force 1 Update the database to a specified migration,dotnet ef database update migration Drop the database,dotnet ef database drop List available `DbContext` types,dotnet ef dbcontext list Generate code for a `DbContext` and entity types for a database,dotnet ef dbcontext scaffold connection_string provider Add a new migration,dotnet ef migrations add name "Remove the last migration, rolling back the code changes that were done for the latest migration",dotnet ef migrations remove List available migrations,dotnet ef migrations list Generate an SQL script from migrations range,dotnet ef migrations script from_migration to_migration Interactively log into a NordVPN account,nordvpn login Display the connection status,nordvpn status Connect to the nearest NordVPN server,nordvpn connect List all available countries,nordvpn countries Connect to a NordVPN server in a specific country,nordvpn connect Germany Connect to a NordVPN server in a specific country and city,nordvpn connect Germany Berlin Set autoconnect option,nordvpn set autoconnect on Execute command with specified argument(s) and save its output to log file,logsave path/to/logfile command Take input from `stdin` and save it in a log file,logsave logfile - "Append the output to a log file, instead of replacing its current contents",logsave -a logfile command Show verbose output,logsave -v logfile command Parse a project,doctum parse Render a project,doctum render Parse then render a project,doctum update Parse and render only a specific version of a project,doctum update --only-version=version Parse and render a project using a specific configuration,doctum update path/to/config.php Run tasks in playbook,ansible-playbook playbook Run tasks in playbook with custom host [i]nventory,ansible-playbook playbook -i inventory_file Run tasks in playbook with [e]xtra variables defined via the command-line,"ansible-playbook playbook -e ""variable1=value1 variable2=value2""" Run tasks in playbook with [e]xtra variables defined in a JSON file,"ansible-playbook playbook -e ""@variables.json""" Run tasks in playbook for the given tags,"ansible-playbook playbook --tags tag1,tag2" Run tasks in a playbook starting at a specific task,ansible-playbook playbook --start-at task_name Run tasks in a playbook without making any changes (dry-run),ansible-playbook playbook --check --diff Start the ydotool daemon in the background,ydotoold Perform a left click input,ydotool click 0xC0 Perform a right click input,ydotool click 0xC1 Input Alt+F4,ydotool key 56:1 62:1 62:0 56:0 "Read a PGM image, apply dithering and save it to a file",ppmditherbw path/to/image.pgm > path/to/file.pgm Use the specified quantization method,ppmditherbw -floyd|fs|atkinson|threshold|hilbert|... path/to/image.pgm > path/to/file.pgm Use the atkinson quantization method and the specified seed for a pseudo-random number generator,ppmditherbw -atkinson -randomseed 1337 path/to/image.pgm > path/to/file.pgm Specify the thresholding value for quantization methods that perform some sort of thresholding,ppmditherbw -fs|atkinson|thresholding -value 0.3 path/to/image.pgm > path/to/file.pgm Create a shared memory segment,ipcmk --shmem segment_size_in_bytes Create a semaphore,ipcmk --semaphore element_size Create a message queue,ipcmk --queue Create a shared memory segment with specific permissions (default is 0644),ipcmk --shmem segment_size_in_bytes octal_permissions Return BackupPlan details for a specific BackupPlanId,aws backup get-backup-plan --backup-plan-id id Create a backup plan using a specific backup plan name and backup rules,aws backup create-backup-plan --backup-plan plan Delete a specific backup plan,aws backup delete-backup-plan --backup-plan-id id List all active backup plans for the current account,aws backup list-backup-plans Display details about your report jobs,aws backup list-report-jobs "Find files containing ""foo"", and print the line matches in context",ag foo "Find files containing ""foo"" in a specific directory",ag foo path/to/directory "Find files containing ""foo"", but only [l]ist the filenames",ag -l foo "Find files containing ""FOO"" case-[i]nsensitively, and print [o]nly the match, rather than the whole line",ag -i -o FOO "Find ""foo"" in files with a name matching ""bar""",ag foo -G bar Find files whose contents match a regular expression,ag '^ba(r|z)$' "Find files with a name matching ""foo""",ag -g foo Start GUI,telegram-desktop Run GUI as an autostart if allowed,telegram-desktop -autostart Run GUI minimized to tray,telegram-desktop -startintray Lock the screen to a blurred screenshot of the current screen,blurlock Lock the screen and disable the unlock indicator (removes feedback on keypress),blurlock --no-unlock-indicator Lock the screen and don't hide the mouse pointer,blurlock --pointer default Lock the screen and show the number of failed login attempts,blurlock --show-failed-attempts Return PIDs of any running processes with a matching command string,pgrep process_name Search for processes including their command-line options,"pgrep --full ""process_name parameter""" Search for processes run by a specific user,pgrep --euid root process_name View documentation for the current command,tldr pamoil Search the PATH environment variable and display the location of any matching executables,which executable "If there are multiple executables which match, display all",which -a executable List all Object Storage buckets,linode-cli object-storage buckets list Create a new Object Storage bucket,linode-cli object-storage buckets create --cluster cluster_id --label bucket_label Delete an Object Storage bucket,linode-cli object-storage buckets delete cluster_id bucket_label List Object Storage cluster regions,linode-cli object-storage clusters list List access keys for Object Storage,linode-cli object-storage keys list Create a new access key for Object Storage,linode-cli object-storage keys create --label label Revoke an access key for Object Storage,linode-cli object-storage keys revoke access_key_id Print the text message as a large banner (quotes are optional),"banner ""Hello World""" Use a banner [w]idth of 50 characters,"banner -w 50 ""Hello World""" Read text from `stdin`,banner Convert an e-book into another format,ebook-convert path/to/input_file output_file "Convert Markdown or HTML to e-book with TOC, title and author","ebook-convert path/to/input_file output_file --level1-toc=""//h:h1"" --level2-toc=""//h:h2"" --level3-toc=""//h:h3"" --title=title --authors=author" Render an HTML file to PDF,weasyprint path/to/input.html path/to/output.pdf "Render an HTML file to PNG, including an additional user stylesheet",weasyprint path/to/input.html path/to/output.png --stylesheet path/to/stylesheet.css Output additional debugging information when rendering,weasyprint path/to/input.html path/to/output.pdf --verbose Specify a custom resolution when outputting to PNG,weasyprint path/to/input.html path/to/output.png --resolution 300 Specify a base URL for relative URLs in the input HTML file,weasyprint path/to/input.html path/to/output.png --base-url url_or_filename Convert a PBM image into a Nokia Operator Logo as hexcode,pbmtonokia -fmt NEX_NOL -net network_operator_code path/to/image.pbm > path/to/output.hex Convert a PBM image into a Nokia Group Graphic as hexcode,pbmtonokia -fmt NEX_NGG path/to/image.pbm > path/to/output.hex Convert a PBM image into a Nokia Picture Message with the specified text as hexcode,pbmtonokia -fmt NEX_NPM -txt text_message path/to/image.pbm > path/to/output.hex Convert a PBM image into a Nokia Operator Logo as a NOL file,pbmtonokia -fmt NOL path/to/image.pbm > path/to/output.nol Convert a PBM image into a Nokia Group Graphic as an NGG file,pbmtonokia -fmt NGG path/to/image.pbm > path/to/output.ngg Convert a PBM image into a Nokia Picture Message as an NPM file,pbmtonokia -fmt NPM path/to/image.pbm > path/to/output.npm Convert JSON5 `stdin` to JSON `stdout`,echo input | json5 Convert a JSON5 file to JSON and output to `stdout`,json5 path/to/input_file.json5 Convert a JSON5 file to the specified JSON file,json5 path/to/input_file.json5 --out-file path/to/output_file.json Validate a JSON5 file,json5 path/to/input_file.json5 --validate "Specify the number of spaces to indent by (or ""t"" for tabs)",json5 --space indent_amount Display help,json5 --help List all captured core dumps,coredumpctl list List captured core dumps for a program,coredumpctl list program Show information about the core dumps matching a program with `PID`,coredumpctl info PID Invoke debugger using the last core dump of a program,coredumpctl debug program Extract the last core dump of a program to a file,coredumpctl --output=path/to/file dump program Convert a PPM image to a XPM image,ppmtoxpm path/to/input_file.ppm > path/to/output_file.xpm Specify the prefix string in the output XPM image,ppmtoxpm -name prefix_string path/to/input_file.ppm > path/to/output_file.xpm "In the output XPM file, specify colors by their hexadecimal code instead of their name",ppmtoxpm -hexonly path/to/input_file.ppm > path/to/output_file.xpm Use the specified PGM file as a transparency mask,ppmtoxpm -alphamask path/to/alpha_file.pgm path/to/input_file.ppm > path/to/output_file.xpm Apply a function that performs autocompletion to a command,complete -F function command Apply a command that performs autocompletion to another command,complete -C autocomplete_command command Apply autocompletion without appending a space to the completed word,complete -o nospace -F function command Match the sender address using a case-insensitive search,exiqgrep -f '' Match the sender address and display message IDs only,exiqgrep -i -f '' Match the recipient address,exiqgrep -r 'email@somedomain.com' Remove all messages matching the sender address from the queue,exiqgrep -i -f '' | xargs exim -Mrm Test for bounced messages,exiqgrep -f '^<>$' Display the count of bounced messages,exiqgrep -c -f '^<>$' Bundle a JavaScript application and print to `stdout`,esbuild --bundle path/to/file.js Bundle a JSX application from `stdin`,esbuild --bundle --outfile=path/to/out.js < path/to/file.jsx Bundle and minify a JSX application with source maps in `production` mode,"esbuild --bundle --define:process.env.NODE_ENV=\""production\"" --minify --sourcemap path/to/file.js" Bundle a JSX application for a comma-separated list of browsers,"esbuild --bundle --minify --sourcemap --target=chrome58,firefox57,safari11,edge16 path/to/file.jsx" Bundle a JavaScript application for a specific node version,esbuild --bundle --platform=node --target=node12 path/to/file.js Bundle a JavaScript application enabling JSX syntax in `.js` files,esbuild --bundle app.js --loader:.js=jsx path/to/file.js Bundle and serve a JavaScript application on an HTTP server,esbuild --bundle --serve=port --outfile=index.js path/to/file.js Bundle a list of files to an output directory,esbuild --bundle --outdir=path/to/output_directory path/to/file1 path/to/file2 ... Disable one or more CPUs by their IDs,"chcpu -d 1,3" Enable one or more ranges of CPUs by their IDs,"chcpu -e 1-3,5-7" Run without splash screen during startup,matlab -nosplash Execute a MATLAB statement,"matlab -r ""matlab_statement""" Run a MATLAB script,"matlab -r ""run(path/to/script.m)""" Play a media file,ffplay path/to/file Play audio from a media file without a GUI,ffplay -nodisp path/to/file Play media passed by `ffmpeg` through `stdin`,ffmpeg -i path/to/file -c copy -f media_format - | ffplay - Play a video and show motion vectors in real time,ffplay -flags2 +export_mvs -vf codecview=mv=pf+bf+bb path/to/file Show only video keyframes,"ffplay -vf select=""eq(pict_type\,PICT_TYPE_I)"" path/to/file" View documentation for the original command,tldr ar View documentation for the original command,tldr fossil rm Start SpeedCrunch,speedcrunch Copy the result of the most recent calculation, + R Open the formula book, + 1 Clear the calculator of recent calculations, + N Wrap highlighted in parentheses (defaults to wrapping all if nothing selected), + P Load a speedcrunch session, + L Save a speedcrunch session, + S Toggle keypad, + K Print the username of the currently logged in user,pulumi whoami Print detailed information about the currently logged in user,pulumi whoami -v|--verbose Print detailed information about the currently logged in user as JSON,pulumi whoami -j|--json Display help,pulumi whoami -h|--help Set a reminder at a given time,leave time_to_leave Set a reminder to leave at noon,leave 1200 Set a reminder in a specific amount of time,leave +amount_of_time Set a reminder to leave in 4 hours and 4 minutes,leave +0404 Connect to a host and run the current shell,"xxh ""host""" Install the current shell into the target machine without prompting,"xxh ""host"" ++install" Run the specified shell on the target machine,"xxh ""host"" ++shell xonsh|zsh|fish|bash|osquery" Use a specific xxh configuration directory on the target machine,"xxh ""host"" ++host-xxh-home ~/.xxh" Use the specified configuration file on the host machine,"xxh ""host"" ++xxh-config ~/.config/xxh/config.xxhc" Specify a password to use for the SSH connection,"xxh ""host"" ++password ""password""" Install an xxh package on the target machine,"xxh ""host"" ++install-xxh-packages package" Set an environment variable for the shell process on the target machine,"xxh ""host"" ++env name=value" Search for duplicate extents in a directory and show them,duperemove -r path/to/directory Deduplicate duplicate extents on a Btrfs or XFS (experimental) filesystem,duperemove -r -d path/to/directory Use a hash file to store extent hashes (less memory usage and can be reused on subsequent runs),duperemove -r -d --hashfile=path/to/hashfile path/to/directory Limit I/O threads (for hashing and dedupe stage) and CPU threads (for duplicate extent finding stage),duperemove -r -d --hashfile=path/to/hashfile --io-threads=N --cpu-threads=N path/to/directory Monitor the default PipeWire instance,pw-mon Monitor a specific remote instance,pw-mon --remote=remote_name Monitor the default instance specifying a color configuration,pw-mon --color=never|always|auto Display help,pw-mon --help Test the given amount of memory (in Megabytes),stressapptest -M memory Test memory as well as I/O for the given file,stressapptest -M memory -f path/to/file "Test specifying the verbosity level, where 0=lowest, 20=highest, 8=default",stressapptest -M memory -v level Transpile a specified input file and output to `stdout`,swc path/to/file Transpile the input file every time it is changed,swc path/to/file --watch Transpile a specified input file and output to a specific file,swc path/to/input_file --out-file path/to/output_file Transpile a specified input directory and output to a specific directory,swc path/to/input_directory --out-dir path/to/output_directory Transpile a specified input directory using a specific configuration file,swc path/to/input_directory --config-file path/to/.swcrc Ignore files in a directory specified using glob path,swc path/to/input_directory --ignore path/to/ignored_file1 path/to/ignored_file2 ... Display a list of installed versions,exenv versions Use a specific version of Elixir across the whole system,exenv global version Use a specific version of Elixir for the current application/project directory,exenv local version Show the currently selected Elixir version,exenv version Install a version of Elixir (requires `elixir-build` plugin ),exenv install version View documentation for the original command,tldr npm run Sleep until all processes whose PIDs have been specified have exited,waitpid pid1 pid2 ... Sleep for at most `n` seconds,waitpid --timeout n pid1 pid2 ... Do not error if specified PIDs have already exited,waitpid --exited pid1 pid2 ... Sleep until `n` of the specified processes have exited,waitpid --count n pid1 pid2 ... Display help,waitpid -h Pretty print the contents of one or more files to `stdout`,bat path/to/file1 path/to/file2 ... Concatenate several files into the target file,bat path/to/file1 path/to/file2 ... > path/to/target_file "Remove decorations and disable paging (`--style plain` can be replaced with `-p`, or both options with `-pp`)",bat --style plain --pager never path/to/file Highlight a specific line or a range of lines with a different background color,bat -H|--highlight-line 10|5:10|:10|10:|10:+5 path/to/file "Show non-printable characters like space, tab or newline",bat -A|--show-all path/to/file Remove all decorations except line numbers in the output,bat -n|--number path/to/file Syntax highlight a JSON file by explicitly setting the language,bat -l|--language json path/to/file.json Display all supported languages,bat -L|--list-languages Convert to HTML,gladtex path/to/input.htex Save the converted file to a specific location,gladtex path/to/input.htex -o path/to/output.html Save the generated images to a specific [d]irectory,gladtex path/to/input.htex -d path/to/image_output_directory "Set image [r]esolution (in dpi, default is 100)",gladtex path/to/input.htex -r resolution [k]eep LaTeX files after conversion,gladtex path/to/input.htex -k Set [b]ackground and [f]oreground color of the images,gladtex path/to/input.htex -b background_color -f foreground_color Convert Markdown to HTML using `pandoc` and `gladtex`,pandoc -s -t html --gladtex path/to/input.md | gladtex -o path/to/output.html Get the name of the default remote,dvc config core.remote Set the project's default remote,dvc config core.remote remote_name Unset the project's default remote,dvc config --unset core.remote Get the configuration value for a specified key for the current project,dvc config key Set the configuration value for a key on a project level,dvc config key value Unset a project level configuration value for a given key,dvc config --unset key "Set a local, global, or system level configuration value",dvc config --local|global|system key value Open the source of the Nix expression of a package from nixpkgs in your `$EDITOR`,nix edit nixpkgs#pkg Dump the source of a package to `stdout`,EDITOR=cat nix edit nixpkgs#pkg Display the histogram for human reading,pgmhist path/to/image.pgm Display the median grey value,pgmhist -median path/to/image.pgm Display four quartile grey value,pgmhist -quartile path/to/image.pgm Report the existence of invalid grey values,pgmhist -forensic path/to/image.pgm Display machine-readable output,pgmhist -machine path/to/image.pgm View documentation for the original command,tldr magick import Scan the top 1000 ports of a remote host with various [v]erbosity levels,nmap -v1|2|3 ip_or_hostname Run a ping sweep over an entire subnet or individual hosts very aggressively,"nmap -T5 -sn 192.168.0.0/24|ip_or_hostname1,ip_or_hostname2,..." "Enable OS detection, version detection, script scanning, and traceroute of hosts from a file",sudo nmap -A -iL path/to/file.txt Scan a specific list of ports (use `-p-` for all ports from 1 to 65535),"nmap -p port1,port2,... ip_or_host1,ip_or_host2,..." "Perform service and version detection of the top 1000 ports using default NSE scripts, writing results (`-oA`) to output files","nmap -sC -sV -oA top-1000-ports ip_or_host1,ip_or_host2,..." Scan target(s) carefully using `default and safe` NSE scripts,"nmap --script ""default and safe"" ip_or_host1,ip_or_host2,..." Scan for web servers running on standard ports 80 and 443 using all available `http-*` NSE scripts,"nmap --script ""http-*"" ip_or_host1,ip_or_host2,... -p 80,443" "Attempt evading IDS/IPS detection by using an extremely slow scan (`-T0`), decoy source addresses (`-D`), [f]ragmented packets, random data and other methods","sudo nmap -T0 -D decoy_ip1,decoy_ip2,... --source-port 53 -f --data-length 16 -Pn ip_or_host" Output a WAV [f]ile using a text-to-speech [m]odel (assuming a config file at model_path + .json),echo Thing to say | piper -m path/to/model.onnx -f outputfile.wav Output a WAV [f]ile using a [m]odel and specifying its JSON [c]onfig file,echo 'Thing to say' | piper -m path/to/model.onnx -c path/to/model.onnx.json -f outputfile.wav Select a particular speaker in a voice with multiple speakers by specifying the speaker's ID number,echo 'Warum?' | piper -m de_DE-thorsten_emotional-medium.onnx --speaker 1 -f angry.wav Stream the output to the mpv media player,echo 'Hello world' | piper -m en_GB-northern_english_male-medium.onnx --output-raw -f - | mpv - "Speak twice as fast, with huge gaps between sentences",echo 'Speaking twice the speed. With added drama!' | piper -m foo.onnx --length_scale 0.5 --sentence_silence 2 -f drama.wav Create a backup of the device in the specified directory,idevicebackup backup path/to/directory Restore a backup from the specified directory,idevicebackup restore path/to/directory Show events from a specific binary log file,mysqlbinlog path/to/binlog Show entries from a binary log for a specific database,mysqlbinlog --database database_name path/to/binlog Show events from a binary log between specific dates,mysqlbinlog --start-datetime='2022-01-01 01:00:00' --stop-datetime='2022-02-01 01:00:00' path/to/binlog Show events from a binary log between specific positions,mysqlbinlog --start-position=100 --stop-position=200 path/to/binlog Show binary log from a MySQL server on the given host,mysqlbinlog --host=hostname path/to/binlog Initialize a Satis configuration,satis init satis.json Add a VCS repository to the Satis configuration,satis add repository_url Build the static output from the configuration,satis build satis.json path/to/output_directory Build the static output by updating only the specified repository,satis build --repository-url repository_url satis.json path/to/output_directory Remove useless archive files,satis purge satis.json path/to/output_directory Display logs in real time,varnishlog Only display requests to a specific domain,"varnishlog -q 'ReqHeader eq ""Host: example.com""'" Only display POST requests,"varnishlog -q 'ReqMethod eq ""POST""'" Only display requests to a specific path,"varnishlog -q 'ReqURL eq ""/path""'" Only display requests to paths matching a regular expression,"varnishlog -q 'ReqURL ~ ""regex""'" List payloads,msfvenom -l payloads List formats,msfvenom -l formats Show payload options,msfvenom -p payload --list-options Create an ELF binary with a reverse TCP handler,msfvenom -p linux/x64/meterpreter/reverse_tcp LHOST=local_ip LPORT=local_port -f elf -o path/to/binary Create an EXE binary with a reverse TCP handler,msfvenom -p windows/x64/meterpreter/reverse_tcp LHOST=local_ip LPORT=local_port -f exe -o path/to/binary.exe Create a raw Bash with a reverse TCP handler,msfvenom -p cmd/unix/reverse_bash LHOST=local_ip LPORT=local_port -f raw Create a new Access Analyzer,aws accessanalyzer create-analyzer --analyzer-name analyzer_name --type type --tags tags Delete an existing Access Analyzer,aws accessanalyzer delete-analyzer --analyzer-arn analyzer_arn Get details of a specific Access Analyzer,aws accessanalyzer get-analyzer --analyzer-arn analyzer_arn List all Access Analyzers,aws accessanalyzer list-analyzers Update settings of an Access Analyzer,aws accessanalyzer update-analyzer --analyzer-arn analyzer_arn --tags new_tags Create a new Access Analyzer archive rule,aws accessanalyzer create-archive-rule --analyzer-arn analyzer_arn --rule-name rule_name --filter filter Delete an Access Analyzer archive rule,aws accessanalyzer delete-archive-rule --analyzer-arn analyzer_arn --rule-name rule_name List all Access Analyzer archive rules,aws accessanalyzer list-archive-rules --analyzer-arn analyzer_arn Display a calendar for the current month,cal Display a calendar for a specific year,cal year Display a calendar for a specific month and year,cal month year Display system cracking speed,pyrit benchmark List available cores,pyrit list_cores Set [e]SSID,"pyrit -e ""ESSID"" create_essid" [r]ead and analyze a specific packet capture file,pyrit -r path/to/file.cap|path/to/file.pcap analyze Read and [i]mport passwords to the current database,pyrit -i path/to/file import_unique_passwords|unique_passwords|import_passwords Exp[o]rt passwords from database to a specific file,pyrit -o path/to/file export_passwords Translate passwords with Pired Master Keys,pyrit batch [r]ead the capture file and crack the password,pyrit -r path/to/file attack_db Build an image,packer build path/to/config.json Check the syntax of a Packer image config,packer validate path/to/config.json Format a Packer image config,packer fmt path/to/config.pkr.hcl Connect with a defined configuration file,sudo vpnc config_file Terminate the previously created connection,sudo vpnc-disconnect Display the number of available processing units,nproc "Display the number of installed processing units, including any inactive ones",nproc --all "If possible, subtract a given number of units from the returned value",nproc --ignore count List all running processes,ps aux List all running processes including the full command string,ps auxww Search for a process that matches a string (the brackets will prevent `grep` from matching itself),ps aux | grep [s]tring List all processes of the current user in extra full format,ps --user $(id -u) -F List all processes of the current user as a tree,ps --user $(id -u) f Get the parent PID of a process,ps -o ppid= -p pid Sort processes by memory consumption,ps --sort size Start CouchDB,couchdb Start CouchDB interactive shell,couchdb -i Start CouchDB as a background process,couchdb -b Kill the background process (Note: It will respawn if needed),couchdb -k Shutdown the background process,couchdb -d "Trigger a pipeline to run from a Git branch, commit or tag","vela add deployment --org organization --repo repository_name --target environment --ref branch|commit|refs/tags/git_tag --description ""deploy_description""" List deployments for a repository,vela get deployment --org organization --repo repository_name Inspect a specific deployment,vela view deployment --org organization --repo repository_name --deployment deployment_number Display power and battery information,upower --dump List all power devices,upower --enumerate Watch for and print power status changes,upower --monitor Watch for and print detailed power status changes,upower --monitor-detail Display version,upower --version "Create a new environment, installing the specified packages into it",mamba create --name environment_name python=3.10 matplotlib "Install packages into the current environment, specifying the package [c]hannel",mamba install -c conda-forge python=3.6 numpy Update all packages in the current environment,mamba update --all Search for a specific package across repositories,mamba repoquery search numpy List all environments,mamba info --envs Remove unused [p]ackages and [t]arballs from the cache,mamba clean -pt Activate an environment,mamba activate environment_name List all installed packages in the currently activated environment,mamba list Open Irssi and connect to a server with a nickname,irssi -n nickname -c irc.example.com Open Irssi and connect with a specific server on a given port,irssi -c irc.example.com -p port Display help,irssi --help Join a channel,/join #channelname Change active window (starts at 1),/win window_number Exit the application cleanly and quitting any server(s),/quit "Create a new scaffolded site, with SQLite as backend, in the `my-project` directory",stack new my-project yesod-sqlite Install the Yesod CLI tool within a Yesod scaffolded site,stack build yesod-bin cabal-install --install-ghc Start development server,stack exec -- yesod devel Touch files with altered Template Haskell dependencies,stack exec -- yesod touch Deploy application using Keter (Yesod's deployment manager),stack exec -- yesod keter View documentation for the original command,tldr pamtopnm Install all gems defined in the `Gemfile` expected in the working directory,bundle install Execute a command in the context of the current bundle,bundle exec command arguments Update all gems by the rules defined in the `Gemfile` and regenerate `Gemfile.lock`,bundle update Update one or more specific gem(s) defined in the `Gemfile`,bundle update gem_name1 gem_name2 Update one or more specific gems(s) defined in the `Gemfile` but only to the next patch version,bundle update --patch gem_name1 gem_name2 Update all gems within the given group in the `Gemfile`,bundle update --group development List installed gems in the `Gemfile` with newer versions available,bundle outdated Create a new gem skeleton,bundle gem gem_name Display a list of known paths and their current values,systemd-path Query the specified path and display its value,"systemd-path ""path_name""" Suffix printed paths with `suffix_string`,systemd-path --suffix suffix_string Print a short version string and then exit,systemd-path --version View documentation for the original command,tldr tlmgr platform List tables in the current project,cbt ls Print count of rows in a specific table in the current project,"cbt count ""table_name""" Display a single row from a specific table with only 1 (most recent) cell revision per column in the current project,"cbt lookup ""table_name"" ""row_key"" cells-per-column=1" Display a single row with only specific column(s) (omit qualifier to return entire family) in the current project,"cbt lookup ""table_name"" ""row_key"" columns=""family1:qualifier1,family2:qualifier2,...""" Search up to 5 rows in the current project by a specific regex pattern and print them,"cbt read ""table_name"" regex=""row_key_pattern"" count=5" Read a specific range of rows and print only returned row keys in the current project,cbt read table_name start=start_row_key end=end_row_key keys-only=true Compress a file,bzip2 path/to/file_to_compress [d]ecompress a file,bzip2 -d path/to/compressed_file.bz2 [d]ecompress a file to `stdout`,bzip2 -dc path/to/compressed_file.bz2 Test the integrity of each file inside the archive file,bzip2 --test path/to/compressed_file.bz2 Show the compression ratio for each file processed with detailed information,bzip2 --verbose path/to/compressed_files.bz2 Decompress a file overwriting existing files,bzip2 --force path/to/compressed_file.bz2 Display help,bzip2 -h Lint a single CSS file,csslint file.css Lint multiple CSS files,csslint file1.css file2.css ... List all possible style rules,csslint --list-rules Treat certain rules as errors (which results in a non-zero exit code),"csslint --errors=errors,universal-selector,imports file.css" Treat certain rules as warnings,"csslint --warnings=box-sizing,selector-max,floats file.css" Ignore specific rules,"csslint --ignore=ids,rules-count,shorthand file.css" Open the current user home directory,nemo Open specific directories in separate windows,nemo path/to/directory1 path/to/directory2 ... Open specific directories in tabs,nemo --tabs path/to/directory1 path/to/directory2 ... Open a directory with a specific window size,nemo --geometry=600x400 path/to/directory Close all windows,nemo --quit Translate a word (language is detected automatically),"trans ""word_or_sentence_to_translate""" Get a brief translation,"trans --brief ""word_or_sentence_to_translate""" Translate a word into french,trans :fr word Translate a word from German to English,trans de:en Schmetterling Behave like a dictionary to get the meaning of a word,trans -d word Create a new Rust project with a binary target,cargo new path/to/directory Compile a .NET project in release mode,dotnet publish --configuration Release path/to/project_file Publish the .NET Core runtime with your application for the specified runtime,dotnet publish --self-contained true --runtime runtime_identifier path/to/project_file Package the application into a platform-specific single-file executable,dotnet publish --runtime runtime_identifier -p:PublishSingleFile=true path/to/project_file Trim unused libraries to reduce the deployment size of an application,dotnet publish --self-contained true --runtime runtime_identifier -p:PublishTrimmed=true path/to/project_file Compile a .NET project without restoring dependencies,dotnet publish --no-restore path/to/project_file Specify the output directory,dotnet publish --output path/to/directory path/to/project_file Show the status of a process (or all processes if `process_name` is not specified),supervisorctl status process_name Start/stop/restart a process,supervisorctl start|stop|restart process_name Start/stop/restart all processes in a group,supervisorctl start|stop|restart group_name:* Show last 100 bytes of process `stderr`,supervisorctl tail -100 process_name stderr Keep displaying `stdout` of a process,supervisorctl tail -f process_name stdout Reload process configuration file to add/remove processes as necessary,supervisorctl update Check for outdated packages,pnpm outdated Check for outdated dependencies found in every workspace package,pnpm outdated -r Filter outdated packages using a package selector,pnpm outdated --filter package_selector List outdated packages [g]lobally,pnpm outdated --global Print details of outdated packages,pnpm outdated --long Print outdated dependencies in a specific format,pnpm outdated --format format Print only versions that satisfy specifications in `package.json`,pnpm outdated --compatible Check only outdated [D]ev dependencies,pnpm outdated --dev Initialize a new local repository,dvc init Initialize DVC without Git,dvc init --no-scm Initialize DVC in a subdirectory,cd path/to/subdir && dvc init --sudir List all available voices,flite -lv Convert a text string to speech,"flite -t ""string""" Convert the contents of a file to speech,flite -f path/to/file.txt Use the specified voice,flite -voice file://path/to/filename.flitevox|url Store output into a wav file,flite -voice file://path/to/filename.flitevox|url -f path/to/file.txt -o output.wav Display version,flite --version Convert traceroute data in `warts` files to CSV and output it,sc_warts2csv path/to/file1.warts path/to/file2.warts ... Create a new empty subvolume,sudo btrfs subvolume create path/to/new_subvolume List all subvolumes and snapshots in the specified filesystem,sudo btrfs subvolume list path/to/btrfs_filesystem Delete a subvolume,sudo btrfs subvolume delete path/to/subvolume Create a read-only snapshot of an existing subvolume,sudo btrfs subvolume snapshot -r path/to/source_subvolume path/to/target Create a read-write snapshot of an existing subvolume,sudo btrfs subvolume snapshot path/to/source_subvolume path/to/target Show detailed information about a subvolume,sudo btrfs subvolume show path/to/subvolume Display optical drives available to `wodim`,wodim --devices "Record (""burn"") an audio-only disc",wodim dev=/dev/optical_drive -audio track*.cdaudio "Burn a file to a disc, ejecting the disc once done (some recorders require this)",wodim -eject dev=/dev/optical_drive -data file.iso "Burn a file to the disc in an optical drive, potentially writing to multiple discs in succession",wodim -tao dev=/dev/optical_drive -data file.iso Check a table,mysqlcheck --check table Check a table and provide credentials to access it,mysqlcheck --check table --user username --password password Repair a table,mysqlcheck --repair table Optimize a table,mysqlcheck --optimize table Login to a Railway account,railway login Link to an existing Project under a Railway account or team,railway link projectId Create a new project,railway init Run a local command using variables from the active environment,railway run cmd "Deploy the linked project directory (if running from a subdirectory, the project root is still deployed)",railway up Open an interactive shell to a database,railway connect Create an X cursor file using a configuration file,xcursorgen path/to/config.cursor path/to/output_file Create an X cursor file using a configuration file and specify the path to the image files,xcursorgen --prefix path/to/image_directory/ path/to/config.cursor path/to/output_file Create an X cursor file using a configuration file and write the output to `stdout`,xcursorgen path/to/config.cursor List local Docker images,podman image ls Delete unused local Docker images,podman image prune Delete all unused images (not just those without a tag),podman image prune --all Show the history of a local Docker image,podman image history image Start the daemon,sudo ntpd Synchronize system time with remote servers a single time (quit after synchronizing),sudo ntpd --quit "Synchronize a single time allowing ""Big"" adjustments",sudo ntpd --panicgate --quit Rename the branch you are currently on,git rename-branch new_branch_name Rename a specific branch,git rename-branch old_branch_name new_branch_name Swap left-click and right-click on the pointer,xmodmap -e 'pointer = 3 2 1' Reassign a key on the keyboard to another key,xmodmap -e 'keycode keycode = keyname' Disable a key on the keyboard,xmodmap -e 'keycode keycode =' Execute all xmodmap expressions in the specified file,xmodmap path/to/file Check a shell script,shellcheck path/to/script.sh Check a shell script interpreting it as the specified [s]hell dialect (overrides the shebang at the top of the script),shellcheck --shell sh|bash|dash|ksh path/to/script.sh Ignor[e] one or more error types,"shellcheck --exclude SC1009,SC1073,... path/to/script.sh" Also check any sourced shell scripts,shellcheck --check-sourced path/to/script.sh Display output in the specified [f]ormat (defaults to `tty`),shellcheck --format tty|checkstyle|diff|gcc|json|json1|quiet path/to/script.sh Enable one or more [o]ptional checks,"shellcheck --enable add-default-case,avoid-nullary-conditions,... path/to/script.sh" List all available optional checks that are disabled by default,shellcheck --list-optional Adjust the level of [S]everity to consider (defaults to `style`),shellcheck --severity error|warning|info|style path/to/script.sh Download and run `rustup-init` to install `rustup` and the default Rust toolchain,curl https://sh.rustup.rs -sSf | sh -s Download and run `rustup-init` and pass arguments to it,curl https://sh.rustup.rs -sSf | sh -s -- arguments Run `rustup-init` and specify additional components or targets to install,rustup-init.sh --target target --component component Run `rustup-init` and specify the default toolchain to install,rustup-init.sh --default-toolchain toolchain Run `rustup-init` and do not install any toolchain,rustup-init.sh --default-toolchain none Run `rustup-init` and specify an installation profile,rustup-init.sh --profile minimal|default|complete Run `rustup-init` without asking for confirmation,rustup-init.sh -y Compile a source file,ocamlopt -o path/to/binary path/to/source_file.ml Compile with debugging enabled,ocamlopt -g -o path/to/binary path/to/source_file.ml Install GRUB on a BIOS system,grub-install --target=i386-pc path/to/device Install GRUB on an UEFI system,grub-install --target=x86_64-efi --efi-directory=path/to/efi_directory --bootloader-id=GRUB Install GRUB pre-loading specific modules,"grub-install --target=x86_64-efi --efi-directory=path/to/efi_directory --modules=""part_gpt part_msdos""" View documentation for `pnmquant`,tldr pnmquant View documentation for `pnmremap`,tldr pnmremap Set the Personal Access Token (PAT) to login to a particular organization,az devops login --organization organization_url Open a project in the browser,az devops project show --project project_name --open List members of a specific team working on a particular project,az devops team list-member --project project_name --team team_name Check the Azure DevOps CLI current configuration,az devops configure --list Configure the Azure DevOps CLI behavior by setting a default project and a default organization,az devops configure --defaults project=project_name organization=organization_url Search for a pattern within one or more tar archives,"ptargrep ""search_pattern"" path/to/file1 path/to/file2 ..." Extract to the current directory using the basename of the file from the archive,"ptargrep --basename ""search_pattern"" path/to/file" Search for a case-insensitive pattern matching within a tar archive,"ptargrep --ignore-case ""search_pattern"" path/to/file" Run a .NET assembly in debug mode,mono --debug path/to/program.exe Run a .NET assembly,mono path/to/program.exe Analyze nginx configuration (default path: `/etc/nginx/nginx.conf`),gixy Analyze nginx configuration but skip specific tests,gixy --skips http_splitting Analyze nginx configuration with the specific severity level,gixy -l|-ll|-lll Analyze nginx configuration files on the specific path,gixy path/to/configuration_file_1 path/to/configuration_file_2 Display status information of a comma-separated list of jobs,sstat --jobs=job_id "Display job ID, average CPU and average virtual memory size of a comma-separated list of jobs, with pipes as column delimiters","sstat --parsable --jobs=job_id --format=JobID,AveCPU,AveVMSize" Display list of fields available,sstat --helpformat "Create a dump of all databases (this will place the files inside a directory called ""dump"")",mongodump Specify an output location for the dump,mongodump --out path/to/directory Create a dump of a given database,mongodump --db database_name Create a dump of a given collection within a given database,mongodump --collection collection_name --db database_name "Connect to a given host running on a given port, and create a dump",mongodump --host host --port port Create a dump of a given database with a given username; user will be prompted for password,mongodump --username username database --password "Create a dump from a specific instance; host, user, password and database will be defined in the connection string",mongodump --uri connection_string Display help about using `gh` with MinTTY,gh mintty Capture a photo with different encoding,rpicam-still -e bmp|png|rgb|yuv420 -o path/to/file.bmp|png|rgb|yuv420 Capture a raw image,rpicam-still -r -o path/to/file.jpg Capture a 100 second exposure image,rpicam-still -o path/to/file.jpg --shutter 100000 View all RBAC bindings,rbac-lookup View RBAC bindings that match a given expression,rbac-lookup search_term View all RBAC bindings along with the source role binding,rbac-lookup -o wide View all RBAC bindings filtered by subject,rbac-lookup -k user|group|serviceaccount View all RBAC bindings along with IAM roles (if you are using GKE),rbac-lookup --gke Set a default boot entry (Assuming the boot entry already exists),grub-editenv /boot/grub/grubenv set default=Ubuntu Display the current value of the `timeout` variable,grub-editenv /boot/grub/grubenv list timeout Reset the `saved_entry` variable to the default,grub-editenv /boot/grub/grubenv unset saved_entry "Append ""quiet splash"" to the kernel command-line",grub-editenv /boot/grub/grubenv list kernel_cmdline Show the current readings of all sensor chips,sensors Show temperatures in degrees Fahrenheit,sensors --fahrenheit "Copy `stdin` to each file, and also to `stdout`","echo ""example"" | tee path/to/file" "Append to the given files, do not overwrite","echo ""example"" | tee -a path/to/file" "Print `stdin` to the terminal, and also pipe it into another program for further processing","echo ""example"" | tee /dev/tty | xargs printf ""[%s]""" "Create a directory called ""example"", count the number of characters in ""example"" and write ""example"" to the terminal","echo ""example"" | tee >(xargs mkdir) >(wc -c)" Search for a package by name,npm search package Search for packages by a specific keyword,npm search keyword "Search for packages, including detailed information (e.g., description, author, version)",npm search package --long Search for packages maintained by a specific author,npm search --author author Search for packages with a specific organization,npm search --scope organization Search for packages with a specific combination of terms,npm search term1 term2 ... Create a new castle,homeshick generate castle_name Add a file to your castle,homeshick track castle_name path/to/file Go to a castle,homeshick cd castle_name Clone a castle,homeshick clone github_username/repository_name Symlink all files from a castle,homeshick link castle_name "Return the default config, and create it if it's the first time the program runs",neofetch "Trigger an info line from appearing in the output, where 'infoname' is the function name in the configuration file, e.g. memory",neofetch --enable|disable infoname Hide/Show OS architecture,neofetch --os_arch on|off Enable/Disable CPU brand in output,neofetch --cpu_brand on|off Get a standard overview of your usual disks,dysk Sort by free size,dysk --sort free Include only HDD disks,dysk --filter 'disk = HDD' Exclude SSD disks,dysk --filter 'disk <> SSD' Display disks with high utilization or low free space,dysk --filter 'use > 65% | free < 50G' Initialize a project with a skeleton configuration,wrangler init project_name Authenticate with Cloudflare,wrangler login Start a local development server,wrangler dev --host hostname Publish the worker script,wrangler publish Aggregate logs from the production worker,wrangler tail Spray the specified [p]assword against a list of [u]sernames on the specified target,nxc ssh 192.168.178.2 -u path/to/usernames.txt -p password Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc ssh 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt "Use the specified private key for authentication, using the supplied [p]assword as the key's passphrase",nxc ssh 192.186.178.2 -u path/to/usernames.txt -p password --key-file path/to/id_rsa Try a combination of [u]sername and [p]assword on a number of targets,nxc ssh 192.168.178.0/24 -u username -p password Check for `sudo` privileges on a successful login,nxc ssh 192.168.178.2 -u username -p path/to/passwords.txt --sudo-check Start an interactive shell session,fish Start an interactive shell session without loading startup configs,fish --no-config Execute specific commands,"fish --command ""echo 'fish is executed'""" Execute a specific script,fish path/to/script.fish Check a specific script for syntax errors,fish --no-execute path/to/script.fish Execute specific commands from `stdin`,"echo ""echo 'fish is executed'"" | fish" "Start an interactive shell session in private mode, where the shell does not access old history or save new history",fish --private Define and export an environmental variable that persists across shell restarts (builtin),set --universal --export variable_name variable_value Print the default web browser,xdg-settings get default-web-browser Set the default web browser to Firefox,xdg-settings set default-web-browser firefox.desktop Set the default mail URL scheme handler to Evolution,xdg-settings set default-url-scheme-handler mailto evolution.desktop Set the default PDF document viewer,xdg-settings set pdf-viewer.desktop Display help,xdg-settings --help Enqueue multiple stashed tasks at once,pueue enqueue task_id task_id Enqueue a stashed task after 60 seconds,pueue enqueue --delay 60 task_id Enqueue a stashed task next Wednesday,pueue enqueue --delay wednesday task_id Enqueue a stashed task after four months,"pueue enqueue --delay ""4 months"" task_id" Enqueue a stashed task on 2021-02-19,pueue enqueue --delay 2021-02-19 task_id List all available date/time formats,pueue enqueue --help Add a Git repository as a subtree,git subtree add --prefix=path/to/directory/ --squash repository_url branch_name Update subtree repository to its latest commit,git subtree pull --prefix=path/to/directory/ repository_url branch_name Merge recent changes up to the latest subtree commit into the subtree,git subtree merge --prefix=path/to/directory/ --squash repository_url branch_name Push commits to a subtree repository,git subtree push --prefix=path/to/directory/ repository_url branch_name Extract a new project history from the history of a subtree,git subtree split --prefix=path/to/directory/ repository_url -b branch_name "List each branch showing date, latest commit hash and message",git brv View documentation for `libuser-lid`,tldr libuser-lid Synchronize date and time,sudo htpdate host "Perform simulation of synchronization, without any action",htpdate -q host Compensate the systematic clock drift,sudo htpdate -x host Set time immediate after the synchronization,sudo htpdate -s host "Copy a PPM image (i.e. a PBM, PGM or PPM image) from `stdin` to `stdout`",ppmtoppm < path/to/image.ppm > path/to/output.ppm Display version,ppmtoppm -version View documentation for the original command,tldr docker top Get cluster status,stolonctl --cluster-name cluster_name --store-backend store_backend --store-endpoints store_endpoints status Get cluster data,stolonctl --cluster-name cluster_name --store-backend store_backend --store-endpoints store_endpoints clusterdata Get cluster specification,stolonctl --cluster-name cluster_name --store-backend store_backend --store-endpoints store_endpoints spec Update cluster specification with a patch in JSON format,stolonctl --cluster-name cluster_name --store-backend store_backend --store-endpoints store_endpoints update --patch 'cluster_spec' Run a Crystal file,crystal path/to/file.cr Compile a file and all dependencies to a single executable,crystal build path/to/file.cr "Read Crystal source code from the command-line or `stdin`, and execute it",crystal eval 'code' Generate API documentation from inline docstrings in Crystal files,crystal docs Compile and run a Crystal specification suite,crystal spec Start a local interactive server for testing the language,crystal play Create a project directory for a Crystal application,crystal init app application_name Display all help options,crystal help List all installed packages,nix-env -q Query installed packages,nix-env -q search_term Query available packages,nix-env -qa search_term Install package,nix-env -iA nixpkgs.pkg_name Install a package from a URL,nix-env -i pkg_name --file example.com Uninstall package,nix-env -e pkg_name Upgrade one package,nix-env -u pkg_name Upgrade all packages,nix-env -u Scan all ports of one or more comma-delimited [a]ddresses using the default values,rustscan --addresses ip_or_hostname Scan the [t]op 1000 ports with service and version detection,rustscan --top --addresses address_or_addresses Scan a specific list of [p]orts,"rustscan --ports port1,port2,...,portN --addresses address_or_addresses" Scan a specific range of ports,rustscan --range start-end --addresses address_or_addresses Add script arguments to `nmap`,rustscan --addresses address_or_addresses -- -A -sC Scan with custom [b]atch size (default: 4500) and [t]imeout (default: 1500ms),rustscan --batch-size batch_size --timeout timeout --addresses address_or_addresses Scan with specific port order,rustscan --scan-order serial|random --addresses address_or_addresses "Scan in greppable mode (only output of the ports, no `nmap`)",rustscan --greppable --addresses address_or_addresses Print marketplace terms,"az term show --product ""product_identifier"" --plan ""plan_identifier"" --publisher ""publisher_identifier""" Accept marketplace terms,"az term accept --product ""product_identifier"" --plan ""plan_identifier"" --publisher ""publisher_identifier""" Reset a virtual machine,qm reset vm_id Reset a virtual machine and skip lock (only root can use this option),qm reset --skiplock true vm_id Generate a cloudinit file for a specific configuration type,qm cloudinit dump virtual_machine_id meta|network|user Check if upgrades are available,sudo synoupgrade --check Check for patches without upgrading the DSM version,sudo synoupgrade --check-smallupdate Download the latest upgrade available (use `--download-smallupdate` for patches),sudo synoupgrade --download Start the upgrade process,sudo synoupgrade --start Upgrade to the latest version automatically,sudo synoupgrade --auto Apply patches without upgrading the DSM version automatically,sudo synoupgrade --auto-smallupdate Upgrade the DSM using a patch file (should be an absolute path),sudo synoupgrade --patch /path/to/file.pat Display help,synoupgrade "List all hosted zones, private and public",aws route53 list-hosted-zones Show all records in a zone,aws route53 list-resource-record-sets --hosted-zone-id zone_id "Create a new, public zone using a request identifier to retry the operation safely",aws route53 create-hosted-zone --name name --caller-reference request_identifier Delete a zone (if the zone has non-defaults SOA and NS records the command will fail),aws route53 delete-hosted-zone --id zone_id Test DNS resolving by Amazon servers of a given zone,aws route53 test-dns-answer --hosted-zone-id zone_id --record-name name --record-type type Authenticate and associate the connection to a domain in the Cloudflare account,cloudflared tunnel login Create a tunnel with a specific name,cloudflared tunnel create name Establish a tunnel to a host in Cloudflare from the local server,cloudflared tunnel --hostname hostname localhost:port_number "Establish a tunnel to a host in Cloudflare from the local server, without verifying the local server's certificate",cloudflared tunnel --hostname hostname localhost:port_number --no-tls-verify Save logs to a file,cloudflared tunnel --hostname hostname http://localhost:port_number --loglevel panic|fatal|error|warn|info|debug --logfile path/to/file Install cloudflared as a system service,cloudflared service install Fetch the latest changes from the default remote upstream repository (if set),dvc fetch Fetch changes from a specific remote upstream repository,dvc fetch --remote remote_name Fetch the latest changes for a specific target/s,dvc fetch target/s Fetch changes for all branch and tags,dvc fetch --all-branches --all-tags Fetch changes for all commits,dvc fetch --all-commits Convert an SPU file to a PPM image,sputoppm path/to/input.spu > path/to/output.ppm Start an application with the Procfile in the current directory,foreman start Start an application with a specified Procfile,foreman start -f Procfile Start a specific application,foreman start process Validate Procfile format,foreman check Run one-off commands with the process's environment,foreman run command "Start all processes except the one named ""worker""","foreman start -m all=1,worker=0" "Start the server, listening on port 11300",beanstalkd Listen on a specific [p]ort and address,beanstalkd -l ip_address -p port_number Persist work queues by saving them to disk,beanstalkd -b path/to/persistence_directory Sync to the persistence directory every 500 milliseconds,beanstalkd -b path/to/persistence_directory -f 500 Display statistics for the Git repository in the current working directory,onefetch Display statistics for the Git repository in the specified directory,onefetch path/to/directory Ignore commits made by bots,onefetch --no-bots Ignore merge commits,onefetch --no-merges Don't print the ASCII art of the language logo,onefetch --no-art "Show `n` authors, languages, or file churns (default: 3, 6, and 3 respectively)",onefetch --number-of-authors|languages|file-churns n Ignore the specified files and directories,onefetch -e|--exclude path/to/file_or_directory|regular_expression Only detect languages from the specified categories (default: programming and markup),onefetch -T|--type programming|markup|prose|data Run a random screensaver,gh screensaver Run a specific screensaver,gh screensaver --saver fireworks|life|marquee|pipes|pollock|starfield "Run the ""marquee"" screensaver with a specific text and font","gh screensaver --saver marquee -- --message=""message"" --font=font_name" "Run the ""starfield"" screensaver with a specific density and speed",gh screensaver --saver starfield -- --density 500 --speed 10 List available screensavers,gh screensaver --list Start an interactive session,octave Execute a specific script file,octave path/to/script.m Execute a script file with specific arguments,octave path/to/script.m argument1 argument2 ... Start an interactive session with a GUI,octave --gui Display help,octave --help Display version,octave --version Create an empty IP set which will contain IP addresses,ipset create set_name hash:ip Destroy a specific IP set,ipset destroy set_name Add an IP address to a specific set,ipset add set_name 192.168.1.25 Delete a specific IP address from a set,ipset del set_name 192.168.1.25 Save an IP set,ipset save set_name > path/to/ip_set Download a torrent,"webtorrent download ""torrent_id""" Stream a torrent to VLC media player,"webtorrent download ""torrent_id"" --vlc" Stream a torrent to a Digital Living Network Alliance (DLNA) device,"webtorrent download ""torrent_id"" --dlna" Display a list of files for a specific torrent,"webtorrent download ""torrent_id"" --select" Specify a file index from the torrent to download,"webtorrent download ""torrent_id"" --select index" Seed a specific file or directory,webtorrent seed path/to/file_or_directory Create a new torrent file for the specified file path,webtorrent create path/to/file Display information for a magnet URI or `.torrent` file,webtorrent info path/to/file_or_magnet Run all tests in all environments of the current PlatformIO project,pio test Test only specific environments,pio test --environment environment1 --environment environment2 Run only tests whose name matches a specific glob pattern,"pio test --filter ""pattern""" Ignore tests whose name matches a specific glob pattern,"pio test --ignore ""pattern""" Specify a port for firmware uploading,pio test --upload-port upload_port Specify a custom configuration file for running the tests,pio test --project-conf path/to/platformio.ini View documentation for the current command,tldr pamtowinicon Interactively search and install packages from the repos and AUR,yay package_name|search_term Synchronize and update all packages from the repos and AUR,yay Synchronize and update only AUR packages,yay -Sua Install a new package from the repos and AUR,yay -S package Remove an installed package and both its dependencies and configuration files,yay -Rns package Search the package database for a keyword from the repos and AUR,yay -Ss keyword Remove orphaned packages (installed as dependencies but not required by any package),yay -Yc Show statistics for installed packages and system health,yay -Ps Create a new stack,pulumi stack init stack_name View the stack state,pulumi stack List stacks in the current project,pulumi stack ls List stacks across all projects,pulumi stack ls --all Select an active stack,pulumi stack select stack_name "Show stack outputs, including secrets, in plaintext",pulumi stack output --show-secrets Export the stack state to a JSON file,pulumi stack export --file path/to/file.json Display help,pulumi stack --help Apply changes from the patch file to the original file,wiggle path/to/my_patch.patch Apply changes to the [o]utput file,wiggle path/to/my_patch.patch -o path/to/output_file.txt Take any changes in `file.rej` that could not have been applied and merge them into a file,wiggle --replace path/to/file path/to/file.rej E[x]tract one branch of a patch or merge file,wiggle -x path/to/my_patch.patch Apply a patch and save the compared words to the [o]utput file,wiggle --words path/to/my_word_patch.patch -o path/to/word_patched_code.c Display help about the merge function,wiggle --merge --help Compile multiple source files into an executable,gcc path/to/source1.c path/to/source2.c ... -o|--output path/to/output_executable Activate output of all errors and warnings,gcc path/to/source.c -Wall -o|--output output_executable "Show common warnings, debug symbols in output, and optimize without affecting debugging",gcc path/to/source.c -Wall -g|--debug -Og -o|--output path/to/output_executable Include libraries from a different path,gcc path/to/source.c -o|--output path/to/output_executable -Ipath/to/header -Lpath/to/library -llibrary_name Compile source code into Assembler instructions,gcc -S|--assemble path/to/source.c Compile source code into an object file without linking,gcc -c|--compile path/to/source.c Optimize the compiled program for performance,gcc path/to/source.c -O1|2|3|fast -o|--output path/to/output_executable Display version,gcc --version Create stabilization file to be able to remove camera shakes,transcode -J stabilize -i input_file "Remove camera shakes after creating stabilization file, transform video using XviD",transcode -J transform -i input_file -y xvid -o output_file Resize the video to 640x480 pixels and convert to MPEG4 codec using XviD,transcode -Z 640x480 -i input_file -y xvid -o output_file Convert a PBM file to an HP LaserJet file,pbmtolj path/to/input.pbm > path/to/output.lj Compress the output file using the specified method,pbmtolj -packbits|delta|compress path/to/input.pbm > path/to/output.lj Specify the required resolution,pbmtolj -resolution 75|100|150|300|600 path/to/input.pbm > path/to/output.lj List the tags,gcrane ls repository Format response from the registry as JSON,gcrane ls repository --json Whether to recurse through repositories,gcrane ls repository -r|--recursive Display help,gcrane ls -h|--help Search the package database for a package name,pacstall --search query Install a package,pacstall --install package Remove a package,pacstall --remove package Add a repository to the database (only GitHub and GitLab are supported),pacstall --add-repo remote_repository_location Update pacstall's scripts,pacstall --update Update all packages,pacstall --upgrade Display information about a package,pacstall --cache-info package List all installed packages,pacstall --list Extract textural features from a PGM image,pgmtexture path/to/image.pgm > path/to/output.pgm Specify the distance parameter for the feature extraction algorithm,pgmtexture -d distance path/to/image.pgm > path/to/output.pgm Install the nightly toolchain for your system,rustup install nightly Switch the default toolchain to nightly so that the `cargo` and `rustc` commands will use it,rustup default nightly Use the nightly toolchain when inside the current project but leave global settings unchanged,rustup override set nightly Update all toolchains,rustup update List installed toolchains,rustup show Run `cargo build` with a certain toolchain,rustup run toolchain cargo build Open the local Rust documentation in the default web browser,rustup doc Start the server that communicates with connected devices,react-native start Start the metro bundler with a clean cache,react-native start --reset-cache Start the server in a custom port (defaults to 8081),react-native start --port 3000 Start the server in verbose mode,react-native start --verbose Specify the maximum number of workers for transforming files (default is the number of CPU cores),react-native start --max-workers count Disable interactive mode,react-native start --no-interactive Print a balance report showing totals,ledger balance --file path/to/ledger.journal List all postings in Expenses ordered by amount,ledger register expenses --sorted amount Print total Expenses other than Drinks and Food,ledger balance Expenses and not (Drinks or Food) Print a budget report,ledger budget Print summary information about all the postings,ledger stats Manage a cluster using a kubeconfig context,k9s --context kubeconfig_context_name Manage a cluster in read-only mode (disabling all commands that may cause modifications),k9s --readonly --cluster cluster_name Manage a cluster using a given kubernetes namespace,k9s --namespace kubernetes_namespace --cluster cluster_name Manage a cluster launching k9s in the pod view and enable debug logging,k9s --command pod --logLevel debug --cluster cluster_name Convert an ILBM file to a PPM image,ilbmtoppm path/to/file.ilbm > path/to/file.ppm "Use the specified color to ""show through"" where the image is transparent",ilbmtoppm -transparent color path/to/file.ilbm > path/to/file.ppm Ignore the chunk with the specified chunk ID,ilbmtoppm -ignore chunkID path/to/file.ilbm > path/to/file.ppm Store the input's transparency information to the specified PBM file,ilbmtoppm -maskfile path/to/maskfile.pbm path/to/file.ilbm > path/to/file.ppm Open a new Alacritty window,alacritty Run in a specific directory,alacritty --working-directory path/to/directory [e]xecute a command in a new Alacritty window,alacritty -e command Use an alternative configuration file (defaults to `$XDG_CONFIG_HOME/alacritty/alacritty.toml`),alacritty --config-file path/to/config.toml Run with live configuration reload enabled (can also be enabled by default in `alacritty.toml`),alacritty --live-config-reload --config-file path/to/config.toml Execute a command over SSH,pyinfra target_ip_address exec -- command_name_and_arguments Execute contents of a deploy file on a list of targets,pyinfra path/to/target_list.py path/to/deploy.py Execute commands on locally,pyinfra @local path/to/deploy.py Execute commands over Docker,pyinfra @docker/container path/to/deploy.py Create an ISO from a directory,mkisofs -o filename.iso path/to/source_directory Set the disc label when creating an ISO,"mkisofs -o filename.iso -V ""label_name"" path/to/source_directory" "Lint files in the ""src"" directory",xo Lint a given set of files,xo path/to/file1.js path/to/file2.js ... Automatically fix any lint issues found,xo --fix Lint using spaces as indentation instead of tabs,xo --space "Lint using the ""prettier"" code style",xo --prettier Show secrets stored by the secrets manager in the current account,aws secretsmanager list-secrets List all secrets but only show the secret names and ARNs (easy to view),"aws secretsmanager list-secrets --query 'SecretList[*].{Name: Name, ARN: ARN}'" Create a secret,"aws secretsmanager create-secret --name name --description ""secret_description"" --secret-string 'secret'" Delete a secret (append `--force-delete-without-recovery` to delete immediately without any recovery period),aws secretsmanager delete-secret --secret-id name|arn View details of a secret except for secret text,aws secretsmanager describe-secret --secret-id name|arn Retrieve the value of a secret (to get the latest version of the secret omit `--version-stage`),aws secretsmanager get-secret-value --secret-id name|arn --version-stage version_of_secret Rotate the secret immediately using a Lambda function,aws secretsmanager rotate-secret --secret-id name|arn --rotation-lambda-arn arn_of_lambda_function Rotate the secret automatically every 30 days using a Lambda function,aws secretsmanager rotate-secret --secret-id name|arn --rotation-lambda-arn arn_of_lambda_function --rotation-rules AutomaticallyAfterDays=30 Display what Git protocol is being used,gh config get git_protocol Set protocol to SSH,gh config set git_protocol ssh Use `delta` in side-by-side mode as the default pager for all `gh` commands,gh config set pager 'delta --side-by-side' Set text editor to Vim,gh config set editor vim Reset to default text editor,"gh config set editor """"" Disable interactive prompts,gh config set prompt disabled Set a specific configuration value,gh config set key value Set up a Git repo and perform various setup tasks (run from `/etc`),sudo etckeeper init Commit all changes in `/etc`,sudo etckeeper commit message Run arbitrary Git commands,sudo etckeeper vcs status Check if there are uncommitted changes (only returns an exit code),sudo etckeeper unclean Destroy existing repo and stop tracking changes,sudo etckeeper uninit Open the homepage of the current repository in the default web browser,hub browse Open the homepage of a specific repository in the default web browser,hub browse owner/repository "Open the subpage of a specific repository in the default web browser, subpage can be ""wiki"", ""commits"", ""issues"", or other (default: ""tree"")",hub browse owner/repository subpage "Apply the ""alpha trimmed mean"" filter with the specified alpha and radius values onto the PNM image",pnmnlfilt 0.0..0.5 radius path/to/image.pnm > path/to/output.pnm "Apply the ""optimal estimation smoothing"" filter with the specified noise threshold and radius onto the PNM image",pnmnlfilt 1.0..2.0 radius path/to/image.pnm > path/to/output.pnm "Apply the ""edge enhancement"" filter with the specified alpha and radius onto the PNM image",pnmnlfilt -0.9..(-0.1) radius path/to/image.pnm > path/to/output.pnm Remove a file or directory from Fossil version control,fossil rm path/to/file_or_directory "Remove a file or directory from Fossil version control, and also delete it from the disk",fossil rm --hard path/to/file_or_directory Re-add all previously removed and uncommitted files to Fossil version control,fossil rm --reset Register a runner,sudo gitlab-runner register --url https://gitlab.example.com --registration-token token --name name Register a runner with a Docker executor,sudo gitlab-runner register --url https://gitlab.example.com --registration-token token --name name --executor docker Unregister a runner,sudo gitlab-runner unregister --name name Display the status of the runner service,sudo gitlab-runner status Restart the runner service,sudo gitlab-runner restart Check if the registered runners can connect to GitLab,sudo gitlab-runner verify Download table to local file,tunnel download table_name path/to/file; Upload local file to a table partition,tunnel upload path/to/file table_name/partition_spec; Upload table specifying field and record delimiters,tunnel upload path/to/file table_name -fd field_delim -rd record_delim; Upload table using multiple threads,tunnel upload path/to/file table_name -threads num; Compile a source code file into an executable binary,g++ path/to/source1.cpp path/to/source2.cpp ... -o|--output path/to/output_executable Activate output of all errors and warnings,g++ path/to/source.cpp -Wall -o|--output output_executable "Show common warnings, debug symbols in output, and optimize without affecting debugging",g++ path/to/source.cpp -Wall -g|--debug -Og -o|--output path/to/output_executable Choose a language standard to compile for (C++98/C++11/C++14/C++17),g++ path/to/source.cpp -std=c++98|c++11|c++14|c++17 -o|--output path/to/output_executable Include libraries located at a different path than the source file,g++ path/to/source.cpp -o|--output path/to/output_executable -Ipath/to/header -Lpath/to/library -llibrary_name Compile and link multiple source code files into an executable binary,g++ -c|--compile path/to/source1.cpp path/to/source2.cpp ... && g++ -o|--output path/to/output_executable path/to/source1.o path/to/source2.o ... Optimize the compiled program for performance,g++ path/to/source.cpp -O1|2|3|fast -o|--output path/to/output_executable Display version,g++ --version Change the committer and author of a commit,"git blame-someone-else ""author "" commit" Read a value from the key-value store,consul kv get key Store a new key-value pair,consul kv put key value Delete a key-value pair,consul kv delete key Install Cradle's components (User will be prompted for further details),cradle install Forcefully overwrite files,cradle install --force Skip running SQL migrations,cradle install --skip-sql Skip running package updates,cradle install --skip-versioning Use specific database details,cradle install -h hostname -u username -p password Run linters in the current folder,golangci-lint run "List enabled and disabled linters (Note: disabled linters are shown last, do not mistake them for enabled ones)",golangci-lint linters [E]nable a specific linter for this run,golangci-lint run --enable linter Add a medium,sudo urpmi.addmedia medium ftp://ftp.site.com/path/to/Mageia/RPMS Add a medium from a hard drive (run `genhdlist2` in the directory first),sudo urpmi.addmedia --distrib HD file://path/to/repo Add important media from a chosen mirror,sudo urpmi.addmedia --distrib ftp://mirror_website/mirror/mageia/distrib/version/arch Automatically select mirrors from a mirror list,sudo urpmi.addmedia --distrib --mirrorlist mirrorlist [u]pdate `nuclei` [t]emplates to the latest released version (will be downloaded to `~/nuclei-templates`),nuclei -ut [l]ist all [t]emplates with a specific [p]rotocol [t]ype,nuclei -tl -pt dns|file|http|headless|tcp|workflow|ssl|websocket|whois|code|javascript Run an [a]utomatic web [s]can using wappalyzer technology detection specifying a target [u]RL/host to scan,nuclei -as -u scanme.nmap.org "Run HTTP [p]rotocol [t]ype templates of high and critical severity, [e]xporting results to [m]arkdown files inside a specific directory","nuclei -severity high,critical -pt http -u http://scanme.sh -me markdown_directory" Run all templates using a different [r]ate [l]imit and maximum [b]ulk [s]ize with silent output (only showing the findings),nuclei -rl 150 -bs 25 -c 25 -silent -u http://scanme.sh Run the WordPress [w]orkflow against a WordPress site,nuclei -w path/to/nuclei-templates/workflows/wordpress-workflow.yaml -u https://sample.wordpress.site Run one or more specific [t]emplates or directory with [t]emplates with [v]erbose output in `stderr` and [o]utput detected issues/vulnerabilities to a file,nuclei -t path/to/nuclei-templates/http -u http://scanme.sh -v -o results Run scan based on one or more [t]emplate [c]onditions,"nuclei -tc ""contains(tags, 'xss') && contains(tags, 'cve')"" -u https://vulnerable.website" Show the summarized system topology in a graphical window (or print to console if no graphical display is available),lstopo Show the full system topology without summarizations,lstopo --no-factorize Show the summarized system topology with only [p]hysical indices (i.e. as seen by the OS),lstopo --physical Write the full system topology to a file in the specified format,lstopo --no-factorize --output-format console|ascii|tex|fig|svg|pdf|ps|png|xml path/to/file Output in monochrome or greyscale,lstopo --palette none|grey List available cameras,cam --list List controls of a camera,cam --camera camera_index --list-controls Write frames to a folder,cam --camera camera_index --capture=frames_to_capture --file Display camera feed in a window,cam --camera camera_index --capture --sdl Create a new team with the specified description,pio team create --description description organization_name:team_name Delete a team,pio team destroy organization_name:team_name Add a new user to a team,pio team add organization_name:team_name username Remove a user from a team,pio team remove organization_name:team_name username List all teams that the user is part of and their members,pio team list List all teams in an organization,pio team list organization_name Rename a team,pio team update --name new_team_name organization_name:team_name Change the description of a team,pio team update --description new_description organization_name:team_name "Turn on Redshift with a specific [t]emperature during day (e.g., 5700K) and at night (e.g., 3600K)",redshift -t 5700:3600 Turn on Redshift with a manually specified custom [l]ocation,redshift -l latitude:longitude "Turn on Redshift with a specific screen [b]rightness during the day (e.g, 70%) and at night (e.g., 40%)",redshift -b 0.7:0.4 Turn on Redshift with custom [g]amma levels (between 0 and 1),redshift -g red:green:blue [P]urge existing temperature changes and set a constant unchanging color temperature in [O]ne-shot mode,redshift -PO temperature Capture packets with verbose output,sudo snort -v -i interface Capture packets and dump application layer data with verbose output,sudo snort -vd -i interface Capture packets and display link layer packet headers with verbose output,sudo snort -ve -i interface Capture packets and save them in the specified directory,sudo snort -i interface -l path/to/directory Capture packets according to rules and save offending packets along with alerts,sudo snort -i interface -c path/to/rules.conf -l path/to/directory List all installed development platforms,pio platform list Search for existing development platforms,pio platform search platform Show details about a development platform,pio platform show platform Install a development platform,pio platform install platform Update installed development platforms,pio platform update Uninstall a development platform,pio platform uninstall platform List all supported frameworks,pio platform frameworks Unlock the bootloader,fastboot oem unlock Relock the bootloader,fastboot oem lock Reboot the device from fastboot mode into fastboot mode again,fastboot reboot bootloader Flash a given image,fastboot flash path/to/file.img Flash a custom recovery image,fastboot flash recovery path/to/file.img List connected devices,fastboot devices Display all information of a device,fastboot getvar all "Move `/proc`, `/dev`, `/sys` and `/run` to the specified filesystem, use this filesystem as the new root and start the specified init process",switch_root new_root /sbin/init Display help,switch_root -h Compress a PNG file (overwrites the file by default),oxipng path/to/file.png Compress a PNG file and save the output to a new file,oxipng --out path/to/output.png path/to/file.png Compress all PNG files in the current directory using multiple threads,"oxipng ""*.png""" Compress a file with a set optimization level (default is 2),oxipng --opt 0|1|2|3|4|5|6|max path/to/file.png "Set the PNG interlacing type (`0` removes interlacing, `1` applies Adam7 interlacing, `keep` preserves existing interlacing; default is `0`)",oxipng --interlace 0|1|keep path/to/file.png Perform additional optimization on images with an alpha channel,oxipng --alpha path/to/file.png Use the much slower but stronger Zopfli compressor with max optimization,oxipng --zopfli --opt max path/to/file.png Strip all non-critical metadata chunks,oxipng --strip all path/to/file.png Fetch the latest changes from the default remote upstream repository (origin),dolt fetch Fetch latest changes from a specific remote upstream repository,dolt fetch remote_name "Update branches with the current state of the remote, overwriting any conflicting history",dolt fetch -f Convert a file to the specified format (automatically determined from the file extension),mmdc --input input.mmd --output output.svg Specify the theme of the chart,mmdc --input input.mmd --output output.svg --theme forest|dark|neutral|default "Specify the background color of the chart (e.g. `lime`, `""#D8064F""`, or `transparent`)",mmdc --input input.mmd --output output.svg --backgroundColor color Displace the pixels in a PPM image by a randomized amount that is at most a,ppmspread a path/to/input_file.ppm > path/to/output_file.ppm Specify a seed to a the pseudo-random number generator,ppmspread a path/to/input_file.ppm -randomseed seed > path/to/output_file.ppm List e-books in the library with additional information,calibredb list Search for e-books displaying additional information,calibredb list --search search_term Search for just ids of e-books,calibredb search search_term Add one or more e-books to the library,calibredb add path/to/file1 path/to/file2 ... Recursively add all e-books under a directory to the library,calibredb add -r|--recurse path/to/directory Remove one or more e-books from the library. You need the e-book IDs (see above),calibredb remove id1 id2 ... Scan a Docker image,osv-scanner -D docker_image_name Scan a package lockfile,osv-scanner -L path/to/lockfile Scan an SBOM file,osv-scanner -S path/to/sbom_file Scan multiple directories recursively,osv-scanner -r directory1 directory2 ... Skip scanning Git repositories,osv-scanner --skip-git -r|-D target Output result in JSON format,osv-scanner --json -D|-L|-S|-r target Remove a toolbox container,toolbox rm container_name Remove all `toolbox` containers,toolbox rm --all Force the removal of a currently active `toolbox` container,toolbox rm --force container_name Authenticate `pkgctl` with the GitLab instance,pkgctl auth login View authentication status,pkgctl auth status List Compute Engine zones,gcloud compute zones list Create a VM instance,gcloud compute instances create instance_name Display a VM instance's details,gcloud compute instances describe instance_name List all VM instances in a project,gcloud compute instances list Create a snapshot of a persistent disk,gcloud compute disks snapshot disk_name --snapshot-names snapshot_name Display a snapshot's details,gcloud compute snapshots describe snapshot_name Delete a snapshot,gcloud compute snapshots delete snapshot_name Connect to a VM instance using SSH,gcloud compute ssh instance_name Run command as a different user,runuser user -c 'command' Run command as a different user and group,runuser user -g group -c 'command' Start a login shell as a specific user,runuser user -l Specify a shell for running instead of the default shell (also works for login),runuser user -s /bin/sh Preserve the entire environment of root (only if `--login` is not specified),runuser user --preserve-environment -c 'command' Generate TOTP token (behaves like Google Authenticator),"oathtool --totp --base32 ""secret""" Generate a TOTP token for a specific time,"oathtool --totp --now ""2004-02-29 16:21:42"" --base32 ""secret""" Validate a TOTP token,"oathtool --totp --base32 ""secret"" ""token""" Print the lines in the specified CSV file that match an SQL query to `stdout`,"textql -sql ""SELECT * FROM filename"" path/to/filename.csv" Query a TSV file,"textql -dlm=tab -sql ""SELECT * FROM filename"" path/to/filename.tsv" Query file with header row,"textql -dlm=delimiter -header -sql ""SELECT * FROM filename"" path/to/filename.csv" Read data from `stdin`,"cat path/to/file | textql -sql ""SELECT * FROM stdin""" Join two files on a specified common column,"textql -header -sql ""SELECT * FROM path/to/file1 JOIN file2 ON path/to/file1.c1 = file2.c1 LIMIT 10"" -output-header path/to/file1.csv path/to/file2.csv" Format output using an output delimiter with an output header line,"textql -output-dlm=delimiter -output-header -sql ""SELECT column AS alias FROM filename"" path/to/filename.csv" List all physical volumes,pvscan Show the volume group that uses a specific physical volume,pvscan --cache --listvg /dev/sdX Show logical volumes that use a specific physical volume,pvscan --cache --listlvs /dev/sdX Display detailed information in JSON format,pvscan --reportformat json Merge 2 PDFs into a single PDF,pdfunite path/to/fileA.pdf path/to/fileB.pdf path/to/merged_output.pdf Merge a directory of PDFs into a single PDF,pdfunite path/to/directory/*.pdf path/to/merged_output.pdf Install a local software package,pkgadd package Update an already installed package from a local package,pkgadd -u package Compile the specified files,mcs path/to/input_file1.cs path/to/input_file2.cs ... Specify the output program name,mcs -out:path/to/file.exe path/to/input_file1.cs path/to/input_file2.cs ... Specify the output program type,mcs -target:exe|winexe|library|module path/to/input_file1.cs path/to/input_file2.cs ... Initialize a minimal website project in the current working directory,soupault --init Build a website,soupault Override default configuration file and directory locations,soupault --config config_path --site-dir input_dir --build-dir output_dir Extract metadata into a JSON file without generating pages,soupault --index-only --dump-index-json path/to/file.json Show the effective configuration (values from `soupault.toml` plus defaults),soupault --show-effective-config Create a volume,docker volume create volume_name Create a volume with a specific label,docker volume create --label label volume_name Create a `tmpfs` volume a size of 100 MiB and an uid of 1000,"docker volume create --opt type=tmpfs --opt device=tmpfs --opt o=size=100m,uid=1000 volume_name" List all volumes,docker volume ls Remove a volume,docker volume rm volume_name Display information about a volume,docker volume inspect volume_name Remove all unused local volumes,docker volume prune Display help for a subcommand,docker volume subcommand --help Install BetterDiscord on Discord Stable,sudo betterdiscordctl install Install BetterDiscord on Discord Canary,sudo betterdiscordctl --d-flavors canary install Install BetterDiscord on Discord PTB,sudo betterdiscordctl --d-flavors ptb install Install BetterDiscord on Discord installed with Flatpak,sudo betterdiscordctl --d-install flatpak install Install BetterDiscord on Discord installed with Snap,sudo betterdiscordctl --d-install snap install Verify a bundle and display detailed information about it,bundletool validate --bundle path/to/bundle.aab Delete a specific S3 object,aws s3 rm s3://bucket_name/path/to/file Preview the deletion of a specific S3 object without deleting it (dry-run),aws s3 rm s3://bucket_name/path/to/file --dryrun Delete an object from a specific S3 access point,aws s3 rm s3://arn:aws:s3:region:account_id:access_point/access_point_name/object_key Remove all objects from a bucket (empty the bucket),aws s3 rm s3://bucket_name --recursive Display help,aws s3 rm help Synchronize list of packages and versions available,zypper refresh Install a new package,zypper install package Remove a package,zypper remove package Upgrade installed packages to the newest available versions,zypper update Search package via keyword,zypper search keyword Show information related to configured repositories,zypper repos --sort-by-priority Update restart policy to apply when a specific container exits,docker update --restart=always|no|on-failure|unless-stopped container_name Update the policy to restart up to three times a specific container when it exits with non-zero exit status,docker update --restart=on-failure:3 container_name Update the number of CPUs available to a specific container,docker update --cpus count container_name Update the memory limit in [M]egabytes for a specific container,docker update --memory limitM container_name Update the maximum number of process IDs allowed inside a specific container (use `-1` for unlimited),docker update --pids-limit count container_name Update the amount of memory in [M]egabytes a specific container can swap to disk (use `-1` for unlimited),docker update --memory-swap limitM container_name "Scan a directory containing IaC (Terraform, Cloudformation, ARM, Ansible, Bicep, Dockerfile, etc)",checkov --directory path/to/directory "Scan an IaC file, omitting code blocks in the output",checkov --compact --file path/to/file List all checks for all IaC types,checkov --list Lint all JavaScript source files in the current directory,standard Lint specific JavaScript file(s),standard path/to/file1 path/to/file2 ... Apply automatic fixes during linting,standard --fix Declare any available global variables,standard --global variable Use a custom ESLint plugin when linting,standard --plugin plugin Use a custom JS parser when linting,standard --parser parser Use a custom ESLint environment when linting,standard --env environment Display the size of sections in a given object or executable file,size path/to/file Display the size of sections in a given object or executable file in [o]ctal,size -o|--radix=8 path/to/file Display the size of sections in a given object or executable file in [d]ecimal,size -d|--radix=10 path/to/file Display the size of sections in a given object or executable file in he[x]adecimal,size -x|--radix=16 path/to/file "With no additional arguments, `output` will display all outputs for the root module",terraform output Output only a value with specific name,terraform output name Convert the output value to a raw string (useful for shell scripts),terraform output -raw "Format the outputs as a JSON object, with a key per output (useful with jq)",terraform output -json Show metadata in `default` name,pw-metadata Show metadata with ID 0 in `settings`,pw-metadata -n|--name settings 0 List all available metadata objects,pw-metadata -l|--list Keep running and log the changes to the metadata,pw-metadata -m|--monitor Delete all metadata,pw-metadata -d|--delete Set `log.level` to 1 in `settings`,pw-metadata --name settings 0 log.level 1 Display help,pw-metadata --help List pending updates,checkupdates List pending updates and download the packages to the `pacman` cache,checkupdates --download List pending updates using a specific `pacman` database,CHECKUPDATES_DB=path/to/directory checkupdates Display help,checkupdates --help Get a running process' CPU affinity by PID,taskset --pid --cpu-list pid Set a running process' CPU affinity by PID,taskset --pid --cpu-list cpu_id pid Start a new process with affinity for a single CPU,taskset --cpu-list cpu_id command Start a new process with affinity for multiple non-sequential CPUs,"taskset --cpu-list cpu_id_1,cpu_id_2,cpu_id_3" Start a new process with affinity for CPUs 1 through 4,taskset --cpu-list cpu_id_1-cpu_id_4 Serve a directory,miniserve path/to/directory Serve a single file,miniserve path/to/file Serve a directory using HTTP basic authentication,miniserve --auth username:password path/to/directory Run an app in a temporary virtual environment,pipx run pycowsay moo Install a package in a virtual environment and add entry points to path,pipx install package List installed packages,pipx list Run an app in a temporary virtual environment with a package name different from the executable,pipx run --spec httpx-cli httpx http://www.github.com Inject dependencies into an existing virtual environment,pipx inject package dependency1 dependency2 ... Install a package in a virtual environment with pip arguments,pipx install --pip-args='pip-args' package Upgrade/reinstall/uninstall all installed packages,pipx upgrade-all|uninstall-all|reinstall-all Print the security context of the current execution context,runcon Specify the domain to run a command in,runcon -t domain_t command Specify the context role to run a command with,runcon -r role_r command Specify the full context to run a command with,runcon user_u:role_r:domain_t command Render a PNG image with a filename based on the input filename and output format (uppercase -O),circo -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),circo -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",circo -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | circo -T gif > path/to/image.gif" Display help,circo -? Add any command to the default queue,pueue add command Pass a list of flags or arguments to a command when enqueuing,pueue add -- command --arg -f Add a command but do not start it if it's the first in a queue,pueue add --stashed -- rsync --archive --compress /local/directory /remote/directory "Add a command to a group and start it immediately, see `pueue group` to manage groups","pueue add --immediate --group ""CPU_intensive"" -- ffmpeg -i input.mp4 frame_%d.png" Add a command and start it after commands 9 and 12 finish successfully,"pueue add --after 9 12 --group ""torrents"" -- transmission-cli torrent_file.torrent" "Add a command with a label after some delay has passed, see `pueue enqueue` for valid datetime formats","pueue add --label ""compressing large file"" --delay ""wednesday 10:30pm"" -- ""7z a compressed_file.7z large_file.xml""" Show command-line for a specific virtual machine,qm showcmd vm_id Put each option on a new line to enhance human readability,qm showcmd --pretty true vm_id Fetch configuration values from a specific snapshot,qm showcmd --snapshot string vm_id Calculate the SHA384 checksum for one or more files,sha384sum path/to/file1 path/to/file2 ... Calculate and save the list of SHA384 checksums to a file,sha384sum path/to/file1 path/to/file2 ... > path/to/file.sha384 Calculate a SHA384 checksum from `stdin`,command | sha384sum Read a file of SHA384 sums and filenames and verify all files have matching checksums,sha384sum --check path/to/file.sha384 Only show a message for missing files or when verification fails,sha384sum --check --quiet path/to/file.sha384 "Only show a message when verification fails, ignoring missing files",sha384sum --ignore-missing --check --quiet path/to/file.sha384 "Create a service for a resource, which will be served from container port to node port",kubectl expose resource_type resource_name --port=node_port --target-port=container_port Create a service for a resource identified by a file,kubectl expose -f path/to/file.yml --port=node_port --target-port=container_port "Create a service with a name, to serve to a node port which will be same for container port",kubectl expose resource_type resource_name --port=node_port --name=service_name Initialize a new Dart project in a directory of the same name,dart create project_name Run a Dart file,dart run path/to/file.dart Download dependencies for the current project,dart pub get Run unit tests for the current project,dart test Update an outdated project's dependencies to support null-safety,dart pub upgrade --null-safety Compile a Dart file to a native binary,dart compile exe path/to/file.dart Apply automated fixes to the current project,dart fix --apply View documentation for the original command,tldr xzless Run on server,iperf -s Run on server using UDP mode and set server port to listen on 5001,iperf -u -s -p 5001 Run on client,iperf -c server_address Run on client every 2 seconds,iperf -c server_address -i 2 Run on client with 5 parallel threads,iperf -c server_address -P 5 Run on client using UDP mode,iperf -u -c server_address -p 5001 Show current configuration,sacctmgr show configuration Add a cluster to the slurm database,sacctmgr add cluster cluster_name Add an account to the slurm database,sacctmgr add account account_name cluster=cluster_of_account Show details of user/association/cluster/account using a specific format,"sacctmgr show user|association|cluster|account format=""Account%10"" format=""GrpTRES%30""" "Build and switch to the new configuration, making it the boot default",sudo nixos-rebuild switch "Build and switch to the new configuration, making it the boot default and naming the boot entry",sudo nixos-rebuild switch -p name "Build and switch to the new configuration, making it the boot default and installing updates",sudo nixos-rebuild switch --upgrade "Rollback changes to the configuration, switching to the previous generation",sudo nixos-rebuild switch --rollback Build the new configuration and make it the boot default without switching to it,sudo nixos-rebuild boot "Build and activate the new configuration, but don't make a boot entry (for testing purposes)",sudo nixos-rebuild test Build the configuration and open it in a virtual machine,sudo nixos-rebuild build-vm "Display a cursor to select a window to display its attributes (id, name, size, position, ...)",xwininfo Display the tree of all windows,xwininfo -tree -root Display the attributes of a window with a specific ID,xwininfo -id id Display the attributes of a window with a specific name,xwininfo -name name Display the ID of a window searching by name,xwininfo -tree -root | grep keyword | head -1 | perl -ne 'print $1 if /(0x[\da-f]+)/ig;' Run an installed application,flatpak run com.example.app "Run an installed application from a specific branch e.g. stable, beta, master",flatpak run --branch=stable|beta|master|... com.example.app Run an interactive shell inside a flatpak,flatpak run --command=sh com.example.app Create a new volume group called vg1 using the `/dev/sda1` device,vgcreate vg1 /dev/sda1 Create a new volume group called vg1 using multiple devices,vgcreate vg1 /dev/sda1 /dev/sdb1 /dev/sdc1 List available templates,git ignore-io list Generate a .gitignore template,"git ignore-io item_a,item_b,item_n" Send the specified key event to a specific virtual machine,qm sendkey vm_id key Allow root user to send key event and ignore locks,qm sendkey --skiplock true vm_id key Read 4096 bytes from the device starting from 0x8000000,st-flash read firmware.bin 0x8000000 4096 Write firmware to device starting from 0x8000000,st-flash write firmware.bin 0x8000000 Erase firmware from device,st-flash erase Search for a video,youtube-viewer search_term Log in to your YouTube account,youtube-viewer --login Watch a video with a specific URL in VLC,youtube-viewer --player=vlc https://youtube.com/watch?v=dQw4w9WgXcQ Display a search prompt and play the selected video in 720p,youtube-viewer -7 Print group memberships for the current user,groups Print group memberships for a list of users,groups username1 username2 ... Subscribe to target state updates under the subtree of a specific path,gnmic --address ip:port subscribe --path path Subscribe to a target with a sample interval of 30s (default is 10s),gnmic -a ip:port subscribe --path path --sample-interval 30s Subscribe to a target with sample interval and updates only on change,gnmic -a ip:port subscribe --path path --stream-mode on-change --heartbeat-interval 1m Subscribe to a target for only one update,gnmic -a ip:port subscribe --path path --mode once Subscribe to a target and specify response encoding (json_ietf),gnmic -a ip:port subscribe --path path --encoding json_ietf Ask GPT to improve the code with no extra options,"rgpt --i ""$(git diff path/to/file)""" Get a more detailed verbose output from `rgpt` while reviewing the code,"rgpt --v --i ""$(git diff path/to/file)""" Ask GPT to improve the code and limit it to a certain amount of GPT3 tokens,"rgpt --max 300 --i ""$(git diff path/to/file)""" Ask GPT for a more unique result using a float value between 0 and 2. (higher = more unique),"rgpt --pres 1.2 --i ""$(git diff path/to/file)""" Ask GPT to review your code using a specific model,"rgpt --model davinci --i ""$(git diff path/to/file)""" Make `rgpt` use a JSON output,"rgpt --json --i ""$(git diff path/to/file)""" Show a brief list of devices,lspci Display additional info,lspci -v Display drivers and modules handling each device,lspci -k Show a specific device,lspci -s 00:18.3 Dump info in a readable form,lspci -vm View documentation for the original command,tldr iptables Format a file and print the result to `stdout`,clang-format path/to/file Format a file in-place,clang-format -i path/to/file Format a file using a predefined coding style,clang-format --style LLVM|GNU|Google|Chromium|Microsoft|Mozilla|WebKit path/to/file Format a file using the `.clang-format` file in one of the parent directories of the source file,clang-format --style=file path/to/file Generate a custom `.clang-format` file,clang-format --style LLVM|GNU|Google|Chromium|Microsoft|Mozilla|WebKit --dump-config > .clang-format View documentation for the original command,tldr xzgrep Create a package tarball from the current directory,pio package pack --output path/to/package.tar.gz Create and publish a package tarball from the current directory,pio package publish Publish the current directory and restrict public access to it,pio package publish --private Publish a package,pio package publish path/to/package.tar.gz Publish a package with a custom release date (UTC),"pio package publish path/to/package.tar.gz --released-at ""2021-04-08 21:15:38""" Remove all versions of a published package from the registry,pio package unpublish package Remove a specific version of a published package from the registry,pio package unpublish package@version "Undo the removal, putting all versions or a specific version of the package back into the registry",pio package unpublish --undo package@version Set the value of a key. Fails if the key doesn't exist or the value is out of range,gsettings set org.example.schema example-key value Print the value of a key or the schema-provided default if the key has not been set in `dconf`,gsettings get org.example.schema example-key "Unset a key, so that its schema default value will be used",gsettings reset org.example.schema example-key "Display all (non-relocatable) schemas, keys, and values",gsettings list-recursively Display all keys and values (default if not set) from one schema,gsettings list-recursively org.example.schema Display schema-allowed values for a key (helpful with enum keys),gsettings range org.example.schema example-key Display the human-readable description of a key,gsettings describe org.example.schema example-key Synchronize IMAP account between host1 and host2,imapsync --host1 host1 --user1 user1 --password1 secret1 --host2 host2 --user2 user2 --password2 secret2 Log in to a registry user account and save the credentials to the `.npmrc` file,npm login Log in using a custom registry,npm login --registry=registry_url Log in using a specific authentication strategy,npm login --auth-type=legacy|web Scan a domain and save the results to an SQLite database,dnsrecon --domain example.com --db path/to/database.sqlite "Scan a domain, specifying the nameserver and performing a zone transfer",dnsrecon --domain example.com --name_server nameserver.example.com --type axfr "Scan a domain, using a brute-force attack and a dictionary of subdomains and hostnames",dnsrecon --domain example.com --dictionary path/to/dictionary.txt --type brt "Scan a domain, performing a reverse lookup of IP ranges from the SPF record and saving the results to a JSON file",dnsrecon --domain example.com -s --json "Scan a domain, performing a Google enumeration and saving the results to a CSV file",dnsrecon --domain example.com -g --csv "Scan a domain, performing DNS cache snooping",dnsrecon --domain example.com --type snoop --name_server nameserver.example.com --dictionary path/to/dictionary.txt "Scan a domain, performing zone walking",dnsrecon --domain example.com --type zonewalk Discover LXI devices on available networks,lxi discover "Capture a screenshot, detecting a plugin automatically",lxi screenshot --address ip_address Capture a screenshot using a specified plugin,lxi screenshot --address ip_address --plugin rigol-1000z Send an SCPI command to an instrument,"lxi scpi --address ip_address ""*IDN?""" Run a benchmark for request and response performance,lxi benchmark --address ip_address Run a command as the superuser,sudo less /var/log/syslog Edit a file as the superuser with your default editor,sudo --edit /etc/fstab Run a command as another user and/or group,sudo --user=user --group=group id -a "Repeat the last command prefixed with `sudo` (only in Bash, Zsh, etc.)",sudo !! "Launch the default shell with superuser privileges and run login-specific files (`.profile`, `.bash_profile`, etc.)",sudo --login Launch the default shell with superuser privileges without changing the environment,sudo --shell "Launch the default shell as the specified user, loading the user's environment and reading login-specific files (`.profile`, `.bash_profile`, etc.)",sudo --login --user=user List the allowed (and forbidden) commands for the invoking user,sudo --list Display SMART health summary,sudo smartctl --health /dev/sdX Display device information,sudo smartctl --info /dev/sdX Start a short self-test in the background,sudo smartctl --test short /dev/sdX Display current/last self-test status and other SMART capabilities,sudo smartctl --capabilities /dev/sdX Display exhaustive SMART data,sudo smartctl --all /dev/sdX Log in interactively,az login Log in with a service principal using a client secret,az login --service-principal --username http://azure-cli-service-principal --password secret --tenant someone.onmicrosoft.com Log in with a service principal using a client certificate,az login --service-principal --username http://azure-cli-service-principal --password path/to/cert.pem --tenant someone.onmicrosoft.com Log in using a VM's system assigned identity,az login --identity Log in using a VM's user assigned identity,az login --identity --username /subscriptions/subscription_id/resourcegroups/my_rg/providers/Microsoft.ManagedIdentity/userAssignedIdentities/my_id Create a new virtual machine or update an existing one (if available),makebuildserver Force creating a fresh virtual machine,makebuildserver --clean Prepare the root filesystem for use with fscrypt,fscrypt setup Enable filesystem encryption for a directory,fscrypt encrypt path/to/directory Unlock an encrypted directory,fscrypt unlock path/to/encrypted_directory Lock an encrypted directory,fscrypt lock path/to/encrypted_directory Probe a block device,sudo f3probe path/to/block_device Use the minimum about of RAM possible,sudo f3probe --min-memory path/to/block_device Time disk operations,sudo f3probe --time-ops path/to/block_device Start an interactive shell session,xonsh Execute a single command and then exit,"xonsh -c ""command""" Run commands from a script file and then exit,xonsh path/to/script_file.xonsh Define environment variables for the shell process,xonsh -Dname1=value1 -Dname2=value2 Load the specified `.xonsh` or `.json` configuration files,xonsh --rc path/to/file1.xonsh path/to/file2.json Skip loading the `.xonshrc` configuration file,xonsh --no-rc Start SSO session and refresh access tokens. Requires setup using `aws configure sso`,aws sso login End SSO session and clear cached access tokens,aws sso logout List all AWS accounts accessible to the user,aws sso list-accounts List all roles accessible to the user for a given AWS account,aws sso list-account-roles --account-id account --access-token token Retrieve short-term credentials for a specific account,aws get-role-credentials --account-id account --role-name role --access-token token Start ELinks,elinks Quit elinks, + C "Dump output of webpage to console, colorizing the text with ANSI control codes",elinks -dump -dump-color-mode 1 url "Record new transactions, saving to the default journal file",hledger add "Add transactions to `2024.journal`, but also load `2023.journal` for completions",hledger add --file path/to/2024.journal --file path/to/2023.journal Provide answers for the first four prompts,hledger add today 'best buy' expenses:supplies '$20' Show `add`'s options and documentation with `$PAGER`,hledger add --help Show `add`'s documentation with `info` or `man` if available,hledger help add Start the text-based installer (default on Unix systems),install-tl -no-gui "Start the GUI installer (default on macOS and Windows, requires Tcl/Tk)",install-tl -gui Install TeX Live as defined in a specific profile file,install-tl -profile path/to/texlive.profile Start the installer with the settings from a specific profile file,install-tl -init-from-file path/to/texlive.profile "Start the installer for installation on a portable device, like a USB stick",install-tl -portable Display help,install-tl -help List local Docker images,docker image ls Delete unused local Docker images,docker image prune Delete all unused images (not just those without a tag),docker image prune --all Show the history of a local Docker image,docker image history image Run GDB server on port 4500,st-util -p 4500 Connect to GDB server,(gdb) target extended-remote localhost:4500 Write firmware to device,(gdb) load firmware.elf Upgrade installed packages to the newest available versions,sudo dnf5 upgrade Search packages via keywords,dnf5 search keyword1 keyword2 ... Display details about a package,dnf5 info package Install new packages (Note: use `-y` to confirm all prompts automatically),sudo dnf5 install package1 package2 ... Remove packages,sudo dnf5 remove package1 package2 ... List installed packages,dnf5 list --installed Find which packages provide a given command,dnf5 provides command Remove or expire cached data,sudo dnf5 clean all Print (trace) library calls of a program binary,ltrace ./program Count library calls. Print a handy summary at the bottom,ltrace -c path/to/program "Trace calls to malloc and free, omit those done by libc",ltrace -e malloc+free-@libc.so* path/to/program Write to file instead of terminal,ltrace -o file path/to/program Display information about a specific instance,aws ec2 describe-instances --instance-ids instance_id Display information about all instances,aws ec2 describe-instances Display information about all EC2 volumes,aws ec2 describe-volumes Delete an EC2 volume,aws ec2 delete-volume --volume-id volume_id Create a snapshot from an EC2 volume,aws ec2 create-snapshot --volume-id volume_id List available AMIs (Amazon Machine Images),aws ec2 describe-images Show list of all available EC2 commands,aws ec2 help Display help for specific EC2 subcommand,aws ec2 subcommand help List the aliases that can be used to launch an instance,multipass find "Launch a new instance, set its name and use a cloud-init configuration file",multipass launch -n instance_name --cloud-init configuration_file List all the created instances and some of their properties,multipass list Start a specific instance by name,multipass start instance_name Show the properties of an instance,multipass info instance_name Open a shell prompt on a specific instance by name,multipass shell instance_name Delete an instance by name,multipass delete instance_name Mount a directory into a specific instance,multipass mount path/to/local/directory instance_name:path/to/target/directory Compile binary with gprof information and run it to get `gmon.out`,gcc -pg program.c && ./a.out Run gprof to obtain profile output,gprof Suppress profile field's description,gprof -b Display routines that have zero usage,gprof -bz Display the changes that would be performed without performing them (dry-run),2to3 path/to/file.py Convert a Python 2 file to Python 3,2to3 --write path/to/file.py Convert specific Python 2 language features to Python 3,2to3 --write path/to/file.py --fix raw_input --fix print Convert all Python 2 language features except the specified ones to Python 3,2to3 --write path/to/file.py --nofix has_key --nofix isinstance List all available language features that can be converted from Python 2 to Python 3,2to3 --list-fixes Convert all Python 2 files in a directory to Python 3,2to3 --output-dir path/to/python3_directory --write-unchanged-files --nobackups path/to/python2_directory Run 2to3 with multiple threads,2to3 --processes 4 --output-dir path/to/python3_directory --write --nobackups --no-diff path/to/python2_directory Tag remote image,crane tag image_name tag_name Display help,crane tag -h|--help Search for casks and formulae using a keyword,brew search keyword Search for casks and formulae using a regular expression,brew search /regular_expression/ Enable searching through descriptions,brew search --desc keyword Only search for formulae,brew search --formula keyword Only search for casks,brew search --cask keyword Show the sequence of commits starting from the current one in reverse chronological order,tig Show the history of a specific branch,tig branch Show the history of specific files or directories,tig path1 path2 ... Show the difference between two references (such as branches or tags),tig base_ref..compared_ref Display commits from all branches and stashes,tig --all "Start in stash view, displaying all saved stashes",tig stash Display help in TUI,h View documentation for the current command,tldr pamslice Remove the specified file if it is the last link,unlink path/to/file "Pretend to load a module into the kernel, but don't actually do it",sudo modprobe --dry-run module_name Load a module into the kernel,sudo modprobe module_name Remove a module from the kernel,sudo modprobe --remove module_name Remove a module and those that depend on it from the kernel,sudo modprobe --remove-dependencies module_name Show a kernel module's dependencies,sudo modprobe --show-depends module_name Run AdGuard Home,AdGuardHome Specify a configuration file,AdGuardHome --config path/to/AdGuardHome.yaml Store the data in a specific work directory,AdGuardHome --work-dir path/to/directory Install or uninstall AdGuard Home as a service,AdGuardHome --service install|uninstall Start the AdGuard Home service,AdGuardHome --service start Reload the configuration for the AdGuard Home service,AdGuardHome --service reload Stop or restart the AdGuard Home service,AdGuardHome --service stop|restart "Identify hashes from `stdin` (through typing, copying and pasting, or piping the hash into the program)",hashid Identify one or more hashes,hashid hash1 hash2 ... Identify hashes on a file (one hash per line),hashid path/to/hashes.txt Show all possible hash types (including salted hashes),hashid --extended hash Show `hashcat`'s mode number and `john`'s format string of the hash types,hashid --mode --john hash Save output to a file instead of printing to `stdout`,hashid --outfile path/to/output.txt hash Download the contents of a URL to a file using multiple threads (default behavior differs from `wget`),wget2 https://example.com/foo Limit the number of threads used for downloading (default is 5 threads),wget2 --max-threads=10 https://example.com/foo "Download a single web page and all its resources (scripts, stylesheets, images, etc.)",wget2 --page-requisites --convert-links https://example.com/somepage.html "Mirror a website, but do not ascend to the parent directory (does not download embedded page elements)",wget2 --mirror --no-parent https://example.com/somepath/ Limit the download speed and the number of connection retries,wget2 --limit-rate=300k --tries=100 https://example.com/somepath/ Continue an incomplete download (behavior is consistent with `wget`),wget2 --continue https://example.com Download all URLs stored in a text file to a specific directory,wget2 --directory-prefix path/to/directory --input-file URLs.txt Download a file from an HTTP server using Basic Auth (also works for HTTPS),wget2 --user=username --password=password https://example.com List log groups,awslogs groups List existing streams for the specified group,awslogs streams /var/log/syslog Get logs for any streams in the specified group between 1 and 2 hours ago,awslogs get /var/log/syslog --start='2h ago' --end='1h ago' Get logs that match a specific CloudWatch Logs Filter pattern,awslogs get /aws/lambda/my_lambda_group --filter-pattern='ERROR' Watch logs for any streams in the specified group,awslogs get /var/log/syslog ALL --watch Convert an Atari Degas PI3 image to PBM image,pi1topbm path/to/atari_image.pi3 > path/to/output_image.pbm Symlink all files recursively to a given directory,stow --target=path/to/target_directory file1 directory1 file2 directory2 Delete symlinks recursively from a given directory,stow --delete --target=path/to/target_directory file1 directory1 file2 directory2 Simulate to see what the result would be like,stow --simulate --target=path/to/target_directory file1 directory1 file2 directory2 Delete and resymlink,stow --restow --target=path/to/target_directory file1 directory1 file2 directory2 Exclude files matching a regular expression,stow --ignore=regular_expression --target=path/to/target_directory file1 directory1 file2 directory2 Connect to a remote server,mosh username@remote_host Connect to a remote server with a specific identity (private key),"mosh --ssh=""ssh -i path/to/key_file"" username@remote_host" Connect to a remote server using a specific port,"mosh --ssh=""ssh -p 2222"" username@remote_host" Run a command on a remote server,mosh remote_host -- command -with -flags Select Mosh UDP port (useful when `remote_host` is behind a NAT),mosh -p 124 username@remote_host Usage when `mosh-server` binary is outside standard path,mosh --server=path/to/bin/mosh-server remote_host "Kill all tasks and remove everything (logs, status, groups, task IDs)",pueue reset "Kill all tasks, terminate their children, and reset everything",pueue reset --children Reset without asking for confirmation,pueue reset --force "Install a given version of node. If the version is already installed, it will be activated",n version Display installed versions and interactively activate one of them,n Remove a version,n rm version Execute a file with a given version,n use version file.js Output binary path for a version,n bin version Update the list of available packages and versions,wajig update "Install a package, or update it to the latest available version",wajig install package Remove a package and its configuration files,wajig purge package Perform an update and then a dist-upgrade,wajig daily-upgrade Display the sizes of installed packages,wajig sizes List the version and distribution for all installed packages,wajig versions List versions of upgradable packages,wajig toupgrade Display packages which have some form of dependency on the given package,wajig dependents package Register a new PlatformIO account,pio account register --username username --email email --password password --firstname firstname --lastname lastname Permanently delete your PlatformIO account and related data,pio account destroy Log in to your PlatformIO account,pio account login --username username --password password Log out of your PlatformIO account,pio account logout Update your PlatformIO profile,pio account update --username username --email email --firstname firstname --lastname lastname --current-password password Show detailed information about your PlatformIO account,pio account show Reset your password using your username or email,pio account forgot --username username_or_email Install and switch to the specified version of Neovim,bob use nightly|stable|latest|version_string|commit_hash List installed and currently used versions of Neovim,bob list Uninstall the specified version of Neovim,bob uninstall nightly|stable|latest|version_string|commit_hash Uninstall Neovim and erase any changes `bob` has made,bob erase Roll back to a previous nightly version,bob rollback Start `htop`,htop Start `htop` displaying processes owned by a specific user,htop --user username Display processes hierarchically in a tree view to show the parent-child relationships,htop --tree Sort processes by a specified `sort_item` (use `htop --sort help` for available options),htop --sort sort_item "Start `htop` with the specified delay between updates, in tenths of a second (i.e. 50 = 5 seconds)",htop --delay 50 See interactive commands while running htop,? Switch to a different tab,tab Display help,htop --help Log in or create an account for the Particle CLI,particle setup Display a list of devices,particle list Create a new Particle project interactively,particle project create Compile a Particle project,particle compile device_type path/to/source_code.ino Update a device to use a specific app remotely,particle flash device_name path/to/program.bin Update a device to use the latest firmware via serial,particle flash --serial path/to/firmware.bin Execute a function on a device,particle call device_name function_name function_arguments Show the list of apps,wofi --show drun Show the list of all commands,wofi --show run Pipe a list of items to `stdin` and print the selected item to `stdout`,"printf ""Choice1\nChoice2\nChoice3"" | wofi --dmenu" Start PHP's built-in web server for the current Laravel application,php artisan serve Start an interactive PHP command-line interface,php artisan tinker "Generate a new Eloquent model class with a migration, factory and resource controller",php artisan make:model ModelName --all Display a list of all available commands,php artisan help Override the `yadm` directory. `yadm` stores its configurations relative to this directory,yadm --yadm-dir Override the `yadm` data directory: `yadm` stores its data relative to this directory,yadm --yadm-data Override the location of the `yadm` repository,yadm --yadm-repo Override the location of the `yadm` configuration file,yadm --yadm-config Override the location of the `yadm` encryption configuration,yadm --yadm-encrypt Override the location of the `yadm` encrypted files archive,yadm --yadm-archive Override the location of the `yadm` bootstrap program,yadm --yadm-bootstrap Create symbolic links between alternate files manually,yadm alt Search for duplicate files in the current directory,fclones group . Search multiple directories for duplicate files and cache the results,fclones group --cache path/to/directory1 path/to/directory2 "Search only the specified directory for duplicate files, skipping subdirectories and save the results into a file",fclones group path/to/directory --depth 1 > path/to/file.txt Move the duplicate files in a TXT file to a different directory,fclones move path/to/target_directory < path/to/file.txt Perform a dry run for soft links in a TXT file without actually linking,fclones link --soft < path/to/file.txt --dry-run 2 > /dev/null Delete the newest duplicates from the current directory without storing them in a file,fclones group . | fclones remove --priority newest Preprocess JPEG files in the current directory by using an external command to strip their EXIF data before matching for duplicates,fclones group . --name '*.jpg' -i --transform 'exiv2 -d a $IN' --in-place Notify systemd that the service has completed its initialization and is fully started. It should be invoked when the service is ready to accept incoming requests,systemd-notify --booted Signal to systemd that the service is ready to handle incoming connections or perform its tasks,systemd-notify --ready Provide a custom status message to systemd (this information is shown by `systemctl status`),"systemd-notify --status=""Add custom status message here...""" List all cockpit packages,cockpit-bridge --packages Display help,cockpit-bridge --help Display the ASCII art and default fields,pfetch Display only the ASCII art and color palette fields,"PF_INFO=""ascii palette"" pfetch" Display all possible fields,"PF_INFO=""ascii title os host kernel uptime pkgs memory shell editor wm de palette"" pfetch" Display a different username and hostname,"USER=""user"" HOSTNAME=""hostname"" pfetch" Display without colors,PF_COLOR=0 pfetch "Start KeePass 2, opening the most recently opened password database",keepass2 "Start KeePass 2, opening a specific password database",keepass2 path/to/database.kbdx Use a specific key file to open a password database,keepass2 path/to/database.kbdx -keyfile:path/to/key/file.key Convert a video file to MKV (AAC 160kbit audio and x264 CRF20 video),handbrakecli --input input.avi --output output.mkv --encoder x264 --quality 20 --ab 160 Resize a video file to 320x240,handbrakecli --input input.mp4 --output output.mp4 --width 320 --height 240 List available presets,handbrakecli --preset-list Convert an AVI video to MP4 using the Android preset,"handbrakecli --preset=""Android"" --input input.ext --output output.mp4" "Print the content of a DVD, getting the CSS keys in the process",handbrakecli --input /dev/sr0 --title 0 Rip the first track of a DVD in the specified device. Audiotracks and subtitle languages are specified as lists,"handbrakecli --input /dev/sr0 --title 1 --output out.mkv --format av_mkv --encoder x264 --subtitle 1,4,5 --audio 1,2 --aencoder copy --quality 23" Run the specified command in a virtual X server,xvfb-run command "Try to get a free server number, if the default (99) is not available",xvfb-run --auto-servernum command Pass arguments to the Xvfb server,"xvfb-run --server-args ""-screen 0 1024x768x24"" command" Set up a wireless connection interactively,wifi-menu Interactively set up a connection to a network and obscure the password,wifi-menu --obscure Display help,wifi-menu --help Create a new issue against the current repository interactively,gh issue create Create a new issue with the `bug` label interactively,"gh issue create --label ""bug""" Create a new issue interactively and assign it to the specified users,"gh issue create --assignee user1,user2,..." "Create a new issue with a title, body and assign it to the current user","gh issue create --title ""title"" --body ""body"" --assignee ""@me""" "Create a new issue interactively, reading the body text from a file",gh issue create --body-file path/to/file Create a new issue in the default web browser,gh issue create --web Display the help,gh issue create --help Show the current setting of [a]ll booleans,getsebool -a Set or unset a boolean temporarily (non-persistent across reboot),sudo setsebool httpd_can_network_connect 1|true|on|0|false|off Set or unset a boolean [p]ersistently,sudo setsebool -P container_use_devices 1|true|on|0|false|off Set or unset multiple booleans [p]ersistently at once,sudo setsebool -P ftpd_use_fusefs=1 mount_anyfile=0 ... Set or unset a boolean persistently (alternative method using `semanage-boolean`),sudo semanage boolean -m|--modify -1|--on|-0|--off haproxy_connect_any Show the system stats dashboard,gtop Sort by CPU usage,c Sort by memory usage,m Read JSON from a file and execute a specified JSONPath expression,ajson '$..json[?(@.path)]' path/to/file.json Read JSON from `stdin` and execute a specified JSONPath expression,cat path/to/file.json | ajson '$..json[?(@.path)]' Read JSON from a URL and evaluate a specified JSONPath expression,ajson 'avg($..price)' 'https://example.com/api/' Read some simple JSON and calculate a value,echo '3' | ajson '2 * pi * $' Run a `toolbox` subcommand,toolbox subcommand "Display help for a specific subcommand (such as `create`, `enter`, `rm`, `rmi`, etc.)",toolbox help subcommand Display help,toolbox --help Display version,toolbox --version Convert an input image to PPM format,imgtoppm path/to/input > path/to/output.ppm Display version,imgtoppm -version Convert a PPM file to an Atari Spectrum 512 image,ppmtospu path/to/input.ppm > path/to/output.spu Use a dithering matrix of the specified size (0 means no dithering),ppmtospu -d0|2|4 path/to/input.ppm > path/to/output.spu Stash an enqueued task,pueue stash task_id Stash multiple tasks at once,pueue stash task_id task_id Start a stashed task immediately,pueue start task_id Enqueue a task to be executed when preceding tasks finish,pueue enqueue task_id Create a Minix filesystem inside partition 1 on device b (`sdb1`),mkfs.minix /dev/sdb1 Edit action can be used to view any file on default mailcap explorer,edit filename With `run-mailcap`,run-mailcap --action=edit filename Launch finch,finch Quit, + q OR + c Show actions menu, + a Jump to n-th window, + number_key Close current window, + c "Start moving a window, use arrow keys to move, press escape when done", + m "Start resizing a window, use arrow keys to resize, press escape when done", + r Initialize code for use with `lando`,lando init Print information about your app,lando info Start your app,lando start Stop your app,lando stop Restart your app,lando restart "Rebuild your app from scratch, preserving data",lando rebuild Display logs for your app,lando logs Destroy your app,lando destroy Wait for the user to make a selection and output its geometry to `stdout`,slop "Double click, rather than click and drag, to draw a selection",slop -D Highlight the selection rather than outlining it,slop -l Specify the output format,slop -f format_string Specify the selection rectangle's color,"slop -c red,green,blue,alpha" Create array,sudo mdadm --create /dev/md/MyRAID --level raid_level --raid-devices number_of_disks /dev/sdXN Stop array,sudo mdadm --stop /dev/md0 Mark disk as failed,sudo mdadm --fail /dev/md0 /dev/sdXN Remove disk,sudo mdadm --remove /dev/md0 /dev/sdXN Add disk to array,sudo mdadm --assemble /dev/md0 /dev/sdXN Show RAID info,sudo mdadm --detail /dev/md0 Reset disk by deleting RAID metadata,sudo mdadm --zero-superblock /dev/sdXN List the `virsh` commands grouped into related categories,virsh help List the command categories,"virsh help | grep ""keyword""" List the commands in a category,virsh help category_keyword Display help for a command,virsh help command Generate by directly inputting text,figlet input_text Use a custom [f]ont file,figlet input_text -f path/to/font_file.flf Use a [f]ont from the default font directory (the extension can be omitted),figlet input_text -f font_filename Pipe command output through FIGlet,command | figlet Show available FIGlet fonts,showfigfonts optional_string_to_display Use the full width of the [t]erminal and [c]enter the input text,figlet -t -c input_text Display all characters at full [W]idth to avoid overlapping,figlet -W input_text Interactively create a `composer.json` file,composer init "Add a package as a dependency for this project, adding an entry to `composer.json`",composer require user/package Install all the dependencies in this project's `composer.json` and create `composer.lock`,composer install "Uninstall a package from this project, removing it as a dependency from `composer.json` and `composer.lock`",composer remove user/package Update all the dependencies in this project's `composer.json` and note new versions in `composer.lock` file,composer update Update only `composer.lock` after updating `composer.json` manually,composer update --lock Learn more about why a dependency can't be installed,composer why-not user/package Update composer to its latest version,composer self-update Install dependencies listed in `package.json`,npm install Download a specific version of a package and add it to the list of dependencies in `package.json`,npm install package_name@version Download the latest version of a package and add it to the list of dev dependencies in `package.json`,npm install package_name -D|--save-dev Download the latest version of a package and install it globally,npm install -g|--global package_name "Make a file or directory immutable to changes and deletion, even by superuser",chattr +i path/to/file_or_directory Make a file or directory mutable,chattr -i path/to/file_or_directory Recursively make an entire directory and contents immutable,chattr -R +i path/to/directory Print a list of files managed by `yadm` in the current directory,yadm list List all files managed by `yadm` completely,yadm list -a Analyze code using the configuration file (or create one if it does not exist),infection Use a specific number of threads,infection --threads number_of_threads Specify a minimum Mutation Score Indicator (MSI),infection --min-msi percentage Specify a minimum covered code MSI,infection --min-covered-msi percentage Use a specific test framework (defaults to PHPUnit),infection --test-framework phpunit|phpspec Only mutate lines of code that are covered by tests,infection --only-covered Display the mutation code that has been applied,infection --show-mutations Specify the log verbosity,infection --log-verbosity default|all|none Unfreeze one or more specified stages,dvc unfreeze stage_name1 stage_name2 ... Reload Hyprland configuration,hyprctl reload Return the active window name,hyprctl activewindow List all connected input devices,hyprctl devices List all outputs with respective properties,hyprctl workspaces Call a dispatcher,hyprctl dispatch dispatcher Set a configuration keyword dynamically,hyprctl keyword keyword value Display version,hyprctl version "Print information about the operating system, shell, PHP, and WP-CLI (`wp`) installation",wp --info Update WP-CLI,wp cli update "Download a fresh WordPress installation to current directory, optionally specifying the locale",wp core download --locale=locale Create basic `wpconfig` file (assuming database on `localhost`),wp config create --dbname=dbname --dbuser=dbuser --dbpass=dbpass Install and activate a WordPress plugin,wp plugin install plugin --activate Replace all instances of a string in the database,wp search-replace old_string new_string Import the contents of a WordPress Extended RSS (WXR) file,wp import path/to/file.xml Create a new address with the ED25519 scheme,sui client new-address ed25519 address-alias Create a new testnet environment with an RPC URL and alias,sui client new-env --rpc https://fullnode.testnet.sui.io:443 --alias testnet Switch to the address of your choice (accepts also an alias),sui client switch --address address-alias Switch to the given environment,sui client switch --env env-alias Publish a smart contract,sui client publish package-path Interact with the Sui faucet,sui client faucet subcommand List the gas coins for the given address (accepts also an alias),sui client gas address "Create, sign, and execute programmable transaction blocks",sui client ptb options subcommand Remove a volume group with confirmation,vgremove volume_group Forcefully remove a volume group without confirmation,vgremove --force volume_group "Set the debug level for detailed logging to level 2, (repeat `--debug` up to 6 times to increase the level)",vgremove --debug --debug volume_group Use a specific config setting to override defaults,vgremove --config 'global/locking_type=1' volume_group Display help text for usage information,vgremove --help Display filesystems and their disk usage in human-readable form with colors and graphs,dfc "Display all filesystems including pseudo, duplicate and inaccessible filesystems",dfc -a Display filesystems without color,dfc -c never "Display filesystems containing ""ext"" in the filesystem type",dfc -t ext Create a new Cognito user pool,aws cognito-idp create-user-pool --pool-name name List all user pools,aws cognito-idp list-user-pools --max-results 10 Delete a specific user pool,aws cognito-idp delete-user-pool --user-pool-id user_pool_id Create a user in a specific pool,aws cognito-idp admin-create-user --username username --user-pool-id user_pool_id List the users of a specific pool,aws cognito-idp list-users --user-pool-id user_pool_id Delete a user from a specific pool,aws cognito-idp admin-delete-user --username username --user-pool-id user_pool_id Process an image file,zbarimg image_file Lock the screen showing a white background,i3lock Lock the screen with a simple color background (rrggbb format),i3lock --color 0000ff Lock the screen to a PNG background,i3lock --image path/to/file.png Lock the screen and disable the unlock indicator (removes feedback on keypress),i3lock --no-unlock-indicator Lock the screen and don't hide the mouse pointer,i3lock --pointer default Lock the screen to a PNG background tiled over all monitors,i3lock --image path/to/file.png --tiling Lock the screen and show the number of failed login attempts,i3lock --show-failed-attempts Print statistics about a Bitcode file,llvm-bcanalyzer path/to/file.bc Print an SGML representation and statistics about a Bitcode file,llvm-bcanalyzer -dump path/to/file.bc Read a Bitcode file from `stdin` and analyze it,cat path/to/file.bc | llvm-bcanalyzer Sync the current local branch with its remote branch,git sync Sync the current local branch with the remote main branch,git sync origin main Sync without cleaning untracked files,git sync -s remote_name branch_name Register (command) a new reservation with a given key on a given device,blkpr -c|--command register -k|--key reservation_key path/to/device Set the type of an existing reservation to exclusive access,blkpr -c reserve -k|--key reservation_key -t|--type exclusive-access path/to/device Preempt the existing reservation with a given key and replace it with a new reservation,blkpr -c preempt -K|--oldkey old_key -k|--key new_key -t|--type write-exclusive path/to/device Release a reservation with a given key and type on a given device,blkpr -c release -k|--key reservation_key -t|--type reservation_type path/to/device Clear all reservations from a given device,blkpr -c clear -k|--key key path/to/device Boot from image emulating i386 architecture,qemu-system-i386 -hda image_name.img Boot from image emulating x64 architecture,qemu-system-x86_64 -hda image_name.img Boot QEMU instance with a live ISO image,qemu-system-i386 -hda image_name.img -cdrom os_image.iso -boot d Specify amount of RAM for instance,qemu-system-i386 -m 256 -hda image_name.img -cdrom os-image.iso -boot d Boot from physical device (e.g. from USB to test bootable medium),qemu-system-i386 -hda /dev/storage_device Display attributes of a process,chrt --pid PID Display attributes of all threads of a process,chrt --all-tasks --pid PID Display the min/max priority values that can be used with `chrt`,chrt --max Set the scheduling priority of a process,chrt --pid priority PID Set the scheduling policy of a process,chrt --deadline|idle|batch|rr|fifo|other --pid priority PID Render a PNG image with a filename based on the input filename and output format (uppercase -O),dot -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),dot -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",dot -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""digraph {this -> that} "" | dot -T gif > path/to/image.gif" Display help,dot -? "Start the service, using the default configuration file (assumed to be `frps.ini` in the current directory)",frps "Start the service, using the newer TOML configuration file (`frps.toml` instead of `frps.ini`) in the current directory",frps -c|--config ./frps.toml "Start the service, using a specified config file",frps -c|--config path/to/file Check if the configuration file is valid,frps verify -c|--config path/to/file "Print autocompletion setup script for Bash, fish, PowerShell, or Zsh",frps completion bash|fish|powershell|zsh Display version,frps -v|--version Invite the given user or team as an owner,cargo owner --add username|github:org_name:team_name crate Remove the given user or team as an owner,cargo owner --remove username|github:org_name:team_name crate List owners of a crate,cargo owner --list crate Use the specified registry (registry names can be defined in the configuration - the default is ),cargo owner --registry name View documentation for the current command,tldr pamditherbw Display the subcommand help,glab alias List all the aliases `glab` is configured to use,glab alias list Create a `glab` subcommand alias,glab alias set mrv 'mr view' Set a shell command as a `glab` subcommand,glab alias set --shell alias_name command Delete a command shortcut,glab alias delete alias_name Start a REPL (interactive shell),pypy Execute script in a given Python file,pypy path/to/file.py Execute script as part of an interactive shell,pypy -i path/to/file.py Execute a Python expression,"pypy -c ""expression""" Run library module as a script (terminates option list),pypy -m module arguments Install a package using pip,pypy -m pip install package Interactively debug a Python script,pypy -m pdb path/to/file.py Create an ext4 filesystem inside partition 1 on device b (`sdb1`),sudo mkfs.ext4 /dev/sdb1 Create an ext4 filesystem with a volume-label,sudo mkfs.ext4 -L volume_label /dev/sdb1 Create a new Python project in the current directory,uv init Create a new Python project in a directory with the given name,uv init project_name Add a new package to the project,uv add package Remove a package from the project,uv remove package Run a script in the project's environment,uv run path/to/script.py Run a command in the project's environment,uv run command Update a project's environment from `pyproject.toml`,uv sync Create a lock file for the project's dependencies,uv lock Format the output of a command for a 30 characters wide display,"printf ""header1 header2\nbar foo\n"" | column --output-width 30" Split columns automatically and auto-align them in a tabular format,"printf ""header1 header2\nbar foo\n"" | column --table" "Specify the column delimiter character for the `--table` option (e.g. "","" for CSV) (defaults to whitespace)","printf ""header1,header2\nbar,foo\n"" | column --table --separator ," Fill rows before filling columns,"printf ""header1\nbar\nfoobar\n"" | column --output-width 30 --fillrows" Set the max number of counts before a filesystem is checked to 2,tune2fs -c 2 /dev/sdXN Set the filesystem label to MY_LABEL,tune2fs -L 'MY_LABEL' /dev/sdXN Enable discard and user-specified extended attributes for a filesystem,"tune2fs -o discard,user_xattr /dev/sdXN" Enable journaling for a filesystem,tune2fs -o^nobarrier /dev/sdXN Create a new `ern` application (`MiniApp`),ern create-miniapp application_name Run one or more `MiniApps` in the iOS/Android Runner application,ern run-ios|android Create an Electrode Native container,ern create-container --miniapps /path/to/miniapp_directory --platform ios|android Publish an Electrode Native container to a local Maven repository,"ern publish-container --publisher maven --platform android --extra '{""groupId"":""com.walmart.ern"",""artifactId"":""quickstart""}'" Transform an iOS container into a pre-compiled binary framework,ern transform-container --platform ios --transformer xcframework List all installed versions of Electrode Native,ern platform versions Set a logging level,ern platform config set logLevel trace|debug List available PHP versions,sudo phpquery -V List available SAPIs for PHP 7.3,sudo phpquery -v 7.3 -S List enabled extensions for PHP 7.3 with the cli SAPI,sudo phpquery -v 7.3 -s cli -M Check if the JSON extension is enabled for PHP 7.3 with the apache2 SAPI,sudo phpquery -v 7.3 -s apache2 -m json Pipe two commands and return the exit status of the first command,mispipe command1 command2 Mount a disk image on the connected device,ideviceimagemounter path/to/image_file path/to/signature_file List currently mounted disk images,ideviceimagemounter --list "Generate a random password (8 to 10 characters long, containing letters and numbers)",makepasswd Generate a 10 characters long password,makepasswd --chars 10 Generate a 5 to 10 characters long password,makepasswd --minchars 5 --maxchars 10 "Generate a password containing only the characters ""b"", ""a"" or ""r""",makepasswd --string bar Test a specific website,./goldeneye.py url Test a specific website with 100 user agents and 200 concurrent sockets,./goldeneye.py url --useragents 100 --sockets 200 Test a specific website without verifying the SSL certificate,./goldeneye.py url --nosslcheck Test a specific website in debug mode,./goldeneye.py url --debug Display help,./goldeneye.py --help Start a specific virtual machine,qm start 100 Specify the QEMU machine type (i.e. the CPU to emulate),qm start 100 --machine q35 Start a specific virtual machine with a timeout in 60 seconds,qm start 100 --timeout 60 View documentation for the recommended replacement,tldr tail Open the current repository's CI configuration on its upstream website,git browse-ci Open the current repository's CI configuration on its upstream website for a specific remote,git browse-ci remote "Decrypt a file using a specified key, key ring, and location",gcloud kms decrypt --key=key_name --keyring=keyring_name --location=global --ciphertext-file=path/to/ciphertext --plaintext-file=path/to/plaintext Decrypt a file with additional authenticated data (AAD) and write the decrypted plaintext to `stdout`,gcloud kms decrypt --key=key_name --keyring=keyring_name --location=global --additional-authenticated-data-file=path/to/file.aad --ciphertext-file=path/to/ciphertext --plaintext-file=- Find broken links on ,linkchecker https://example.com/ Also check URLs that point to external domains,linkchecker --check-extern https://example.com/ Ignore URLs that match a specific regular expression,linkchecker --ignore-url regular_expression https://example.com/ Output results to a CSV file,linkchecker --file-output csv/path/to/file https://example.com/ List all available serial ports,pio device list List all available logical devices,pio device list --logical Start an interactive device monitor,pio device monitor Start an interactive device monitor and listen to a specific port,pio device monitor --port /dev/ttyUSBX Start an interactive device monitor and set a specific baud rate (defaults to 9600),pio device monitor --baud 57600 Start an interactive device monitor and set a specific EOL character (defaults to `CRLF`),pio device monitor --eol CRLF|CR|LF Go to the menu of the interactive device monitor, + T Launch JOSM,josm Launch JOSM in maximized mode,josm --maximize Launch JOSM and set a specific language,josm --language de Launch JOSM and reset all preferences to their default values,josm --reset-preferences Launch JOSM and download a specific bounding box,"josm --download minlat,minlon,maxlat,maxlon" Launch JOSM and download a specific bounding box as raw GPS,"josm --downloadgps minlat,minlon,maxlat,maxlon" Launch JOSM without plugins,josm --skip-plugins Start Hydra's wizard,hydra-wizard Guess SSH credentials using a given username and a list of passwords,hydra -l username -P path/to/wordlist.txt host_ip ssh "Guess HTTPS webform credentials using two specific lists of usernames and passwords (""https_post_request"" can be like ""username=^USER^&password=^PASS^"")","hydra -L path/to/usernames.txt -P path/to/wordlist.txt host_ip https-post-form ""url_without_host:https_post_request:login_failed_string""" "Guess FTP credentials using usernames and passwords lists, specifying the number of threads",hydra -L path/to/usernames.txt -P path/to/wordlist.txt -t n_tasks host_ip ftp "Guess MySQL credentials using a username and a passwords list, exiting when a username/password pair is found",hydra -l username -P path/to/wordlist.txt -f host_ip mysql "Guess RDP credentials using a username and a passwords list, showing each attempt",hydra -l username -P path/to/wordlist.txt -V rdp://host_ip Guess IMAP credentials on a range of hosts using a list of colon-separated username/password pairs,hydra -C path/to/username_password_pairs.txt imap://[host_range_cidr] "Guess POP3 credentials on a list of hosts using usernames and passwords lists, exiting when a username/password pair is found",hydra -L path/to/usernames.txt -P path/to/wordlist.txt -M path/to/hosts.txt -F pop3 List running batch jobs,aws batch list-jobs --job-queue queue_name Create compute environment,aws batch create-compute-environment --compute-environment-name compute_environment_name --type type Create batch job queue,aws batch create-job-queue --job-queue-name queue_name --priority priority --compute-environment-order compute_environment Submit job,aws batch submit-job --job-name job_name --job-queue job_queue --job-definition job_definition Describe the list of batch jobs,aws batch describe-jobs --jobs jobs Cancel job,aws batch cancel-job --job-id job_id --reason reason Dump all databases,pg_dumpall > path/to/file.sql Dump all databases using a specific username,pg_dumpall -U|--username username > path/to/file.sql "Same as above, customize host and port",pg_dumpall -h host -p port > output_file.sql Dump only database data into an SQL-script file,pg_dumpall -a|--data-only > path/to/file.sql Dump only schema (data definitions) into an SQL-script file,pg_dumpall -s > output_file.sql Lint all pages,tldr-lint pages_directory Format a specific page to `stdout`,tldr-lint --format page.md Format all pages in place,tldr-lint --format --in-place pages_directory Display information for all CPUs,cpuid Display information only for the current CPU,cpuid -1 Display raw hex information with no decoding,cpuid -r Display users in the system,lslogins Display users belonging to a specific group,lslogins --groups=groups Display user accounts,lslogins --user-accs Display last logins,lslogins --last Display system accounts,lslogins --system-accs Display supplementary groups,lslogins --supp-groups Allow `goobook` to access Google contacts using OAuth2,goobook authenticate Dump all contacts to XML (`stdout`),goobook dump_contacts Display the current mode of SELinux,getenforce Archive 1 or more files,rar a path/to/archive_name.rar path/to/file1 path/to/file2 path/to/file3 Archive a directory,rar a path/to/archive_name.rar path/to/directory Split the archive into parts of equal size (50M),rar a -v50M -R path/to/archive_name.rar path/to/file_or_directory Password protect the resulting archive,rar a -ppassword path/to/archive_name.rar path/to/file_or_directory Encrypt file data and headers with password,rar a -hppassword path/to/archive_name.rar path/to/file_or_directory Use a specific compression level (0-5),rar a -mcompression_level path/to/archive_name.rar path/to/file_or_directory Start an interactive calculator session,mate-calc-cmd Calculate a specific mathematic expression,2 + 5 Convert a SAM input file to BAM stream and save to file,samtools view -S -b input.sam > output.bam Take input from `stdin` (-) and print the SAM header and any reads overlapping a specific region to `stdout`,other_command | samtools view -h - chromosome:start-end Sort file and save to BAM (the output format is automatically determined from the output file's extension),samtools sort input -o output.bam Index a sorted BAM file (creates `sorted_input.bam.bai`),samtools index sorted_input.bam Print alignment statistics about a file,samtools flagstat sorted_input Count alignments to each index (chromosome/contig),samtools idxstats sorted_indexed_input Merge multiple files,samtools merge output input1 input2 ... Split input file according to read groups,samtools split merged_input Decode an `adc` file to `wav`. (Default output name is `input.wav`),vgmstream_cli path/to/input.adc -o path/to/output.wav Print metadata without decoding the audio,vgmstream_cli path/to/input.adc -m Decode an audio file without loops,vgmstream_cli path/to/input.adc -o path/to/output.wav -i "Decode with three loops, then add a 3s delay followed by a 5s fadeout",vgmstream_cli path/to/input.adc -o path/to/output.wav -l 3.0 -f 5.0 -d 3.0 Convert multiple files to `bgm_(original name).wav` (Default `-o` pattern is `?f.wav`),vgmstream_cli -o path/to/bgm_?f.wav path/to/file1.adc path/to/file2.adc Play the file looping endlessly (`channels` and `rate` must match metadata),vgmstream_cli path/to/input.adc -pec | aplay --format cd --channels 1 --rate 44100 Launch GnuCash and load the previously opened file,gnucash Launch GnuCash and load the specified file,gnucash path/to/file.gnucash Launch GnuCash and load an empty file,gnucash --nofile List [a]ll configuration values available,getconf -a List the configuration values for a specific directory,getconf -a path/to/directory Check if the system is 32-bit or 64-bit,getconf LONG_BIT Check how many processes the current user can run at once,getconf CHILD_MAX List every configuration value and then find patterns with the `grep` command (i.e every value with MAX in it),getconf -a | grep MAX Run sqlmap against a single target URL,"python sqlmap.py -u ""http://www.target.com/vuln.php?id=1""" Send data in a POST request (`--data` implies POST request),"python sqlmap.py -u ""http://www.target.com/vuln.php"" --data=""id=1""" Change the parameter delimiter (& is the default),"python sqlmap.py -u ""http://www.target.com/vuln.php"" --data=""query=foobar;id=1"" --param-del="";""" Select a random `User-Agent` from `./txt/user-agents.txt` and use it,"python sqlmap.py -u ""http://www.target.com/vuln.php"" --random-agent" Provide user credentials for HTTP protocol authentication,"python sqlmap.py -u ""http://www.target.com/vuln.php"" --auth-type Basic --auth-cred ""testuser:testpass""" Add a new user as a maintainer of a package,npm owner add username package_name Remove a user from a package's owner list,npm owner rm username package_name List all owners of a package,npm owner ls package_name Generate a hexdump from a binary file and display the output,xxd input_file Generate a hexdump from a binary file and save it as a text file,xxd input_file output_file "Display a more compact output, replacing consecutive zeros (if any) with a star",xxd -a input_file Display the output with 10 columns of one octet (byte) each,xxd -c 10 input_file Display output only up to a length of 32 bytes,xxd -l 32 input_file "Display the output in plain mode, without any gaps between the columns",xxd -p input_file "Revert a plaintext hexdump back into binary, and save it as a binary file",xxd -r -p input_file output_file Start a daemon with the default settings,distccd --daemon "Start a daemon, accepting connections from IPv4 private network ranges",distccd --daemon --allow-private "Start a daemon, accepting connections from a specific network address or address range",distccd --daemon --allow ip_address|network_prefix Start a daemon with a lowered priority that can run a maximum of 4 tasks at a time,distccd --daemon --jobs 4 --nice 5 Start a daemon and register it via mDNS/DNS-SD (Zeroconf),distccd --daemon --zeroconf Do a dry-run renaming a directory of PNGs with a literal string replacement,repren --dry-run --rename --literal --from 'find_string' --to 'replacement_string' *.png Do a dry-run renaming a directory of JPEGs with a regular expression,repren --rename --dry-run --from 'regular_expression' --to 'replacement_string' *.jpg *.jpeg Do a find-and-replace on the contents of a directory of CSV files,repren --from '([0-9]+) example_string' --to 'replacement_string \1' *.csv "Do both a find-and-replace and a rename operation at the same time, using a pattern file",repren --patterns path/to/patfile.ext --full *.txt Do a case-insensitive rename,repren --rename --insensitive --patterns path/to/patfile.ext * "Return all nodes (tags) named ""foo""","xmllint --xpath ""//foo"" source_file.xml" "Return the contents of the first node named ""foo"" as a string","xmllint --xpath ""string(//foo)"" source_file.xml" Return the href attribute of the second anchor element in an HTML file,"xmllint --html --xpath ""string(//a[2]/@href)"" webpage.xhtml" Return human-readable (indented) XML from file,xmllint --format source_file.xml Check that an XML file meets the requirements of its DOCTYPE declaration,xmllint --valid source_file.xml Validate XML against DTD schema hosted online,xmllint --dtdvalid URL source_file.xml Install a specific package,sudo eopkg install package Update all packages,sudo eopkg upgrade Search for packages,sudo eopkg search search_term View documentation for the original command,tldr update-alternatives List all Docker containers (running and stopped),docker ps --all "Start a container from an image, with a custom name",docker run --name container_name image Start or stop an existing container,docker start|stop container_name Pull an image from a Docker registry,docker pull image Display the list of already downloaded images,docker images Open an [i]nteractive [t]ty with Bourne shell (`sh`) inside a running container,docker exec -it container_name sh Remove a stopped container,docker rm container_name Fetch and follow the logs of a container,docker logs -f container_name Lock the screen showing a white background,swaylock Lock the screen with a simple color background (rrggbb format),swaylock --color 0000ff Lock the screen to a PNG background,swaylock --image path/to/file.png Lock the screen and disable the unlock indicator (removes feedback on keypress),swaylock --no-unlock-indicator Lock the screen and don't hide the mouse pointer,swaylock --pointer default Lock the screen to a PNG background tiled over all monitors,swaylock --image path/to/file.png --tiling Lock the screen and show the number of failed login attempts,swaylock --show-failed-attempts Load configuration from a file,swaylock --config path/to/config Log out of the registry user account,npm logout Log out using a custom registry,npm logout --registry=registry_url Create a Kubernetes cluster,doctl kubernetes cluster create --count 3 --region nyc1 --size s-1vcpu-2gb --version latest cluster_name List all Kubernetes clusters,doctl kubernetes cluster list Fetch and save the kubeconfig,doctl kubernetes cluster kubeconfig save cluster_name Check for available upgrades,doctl kubernetes cluster get-upgrades cluster_name Upgrade a cluster to a new Kubernetes version,doctl kubernetes cluster upgrade cluster_name Delete a cluster,doctl kubernetes cluster delete cluster_name List installed applications,cs list Install a specific application,cs install application_name Uninstall a specific application,cs uninstall application_name Setup machine for the Scala development,cs setup Update all the installed applications,cs update Display version,cs version Convert a compressed FIASCO file to a PNM file or in the case of video streams multiple PNM files,fiascotopnm path/to/file.fiasco -o output_file_basename "Use fast decompression, resulting in a slightly decreased quality of the output file(s)",fiascotopnm --fast path/to/file.fiasco -o output_file_basename Load the options to be used from the specified configuration file,fiascotopnm --config path/to/fiascorc path/to/file.fiasco -o output_file_basename Magnify the decompressed image(s) by a factor of 2^n,fiascotopnm --magnify n path/to/file.fiasco -o output_file_basename Smooth the decompressed image by the specified amount,fiascotopnm --smooth n path/to/file.fiasco -o output_file_basename Restore dependencies for a .NET project or solution in the current directory,dotnet restore Restore dependencies for a .NET project or solution in a specific location,dotnet restore path/to/project_or_solution Restore dependencies without caching the HTTP requests,dotnet restore --no-cache Force all dependencies to be resolved even if the last restore was successful,dotnet restore --force Restore dependencies using package source failures as warnings,dotnet restore --ignore-failed-sources Restore dependencies with a specific verbosity level,dotnet restore --verbosity quiet|minimal|normal|detailed|diagnostic Read `stdin` and perform an action on every line,"while read line; do echo ""$line""; done" Execute a command forever once every second,while :; do command; sleep 1; done Preview and deploy changes to a program and/or infrastructure,pulumi up Automatically approve and perform the update after previewing it,pulumi up --yes Preview and deploy changes in a specific stack,pulumi up --stack stack Don't display stack outputs,pulumi up --suppress-outputs "Continue updating the resources, even if an error is encountered",pulumi up --continue-on-error Convert a Sun icon into a Netpbm image,sunicontopnm path/to/input.ico > path/to/output.pbm Interactively create a new subsystem,apx subsystems new List all available subsystems,apx subsystems list Reset a specific subsystem to its initial state,apx subsystems reset --name string [f]orce reset a specific subsystem,apx subsystems reset --name string --force Remove a specific subsystem,apx subsystems rm --name string [f]orce remove a specific subsystem,apx subsystems rm --name string --force Install a new package,pamac install package_name Remove a package and its no longer required dependencies (orphans),pamac remove --orphans package_name Search the package database for a package,pamac search package_name List installed packages,pamac list --installed Check for package updates,pamac checkupdates Upgrade all packages,pamac upgrade Check the format of the specified reference name,git check-ref-format refs/head/refname Print the name of the last branch checked out,git check-ref-format --branch @{-1} Normalize a refname,git check-ref-format --normalize refs/head/refname Start `top`,top Do not show any idle or zombie processes,top -i Show only processes owned by given user,top -u username Sort processes by a field,top -o field_name Show the individual threads of a given process,top -Hp process_id "Show only the processes with the given PID(s), passed as a comma-separated list. (Normally you wouldn't know PIDs off hand. This example picks the PIDs from the process name)","top -p $(pgrep -d ',' process_name)" Display help about interactive commands,? Deploy Cradle to a server,cradle deploy production Deploy static assets to Amazon S3,cradle deploy s3 "Deploy static assets including the Yarn ""components"" directory",cradle deploy s3 --include-yarn "Deploy static assets including the ""upload"" directory",cradle deploy s3 --include-upload Download a video or playlist (with the default options from command below),"yt-dlp ""https://www.youtube.com/watch?v=oHg5SJYRHA0""" List the available downloadable formats for a video,"yt-dlp --list-formats ""https://www.youtube.com/watch?v=oHg5SJYRHA0""" "Download a video or playlist using the best MP4 video available (default is ""bv\*+ba/b"")","yt-dlp --format ""bv*[ext=mp4]+ba[ext=m4a]/b[ext=mp4]"" ""https://www.youtube.com/watch?v=oHg5SJYRHA0""" Extract audio from a video (requires ffmpeg or ffprobe),"yt-dlp --extract-audio ""https://www.youtube.com/watch?v=oHg5SJYRHA0""" "Specify audio format and audio quality of extracted audio (between 0 (best) and 10 (worst), default = 5)","yt-dlp --extract-audio --audio-format mp3 --audio-quality 0 ""https://www.youtube.com/watch?v=oHg5SJYRHA0""" "Download only the second, fourth, fifth, sixth, and last items in a playlist (the first item is 1, not 0)","yt-dlp --playlist-items 2,4:6,-1 ""https://youtube.com/playlist?list=PLbzoR-pLrL6pTJfLQ3UwtB-3V4fimdqnA""" Download all playlists of a YouTube channel/user keeping each playlist in a separate directory,"yt-dlp -o ""%(uploader)s/%(playlist)s/%(playlist_index)s - %(title)s.%(ext)s"" ""https://www.youtube.com/user/TheLinuxFoundation/playlists""" Download a Udemy course keeping each chapter in a separate directory,"yt-dlp -u user -p password -P ""path/to/directory"" -o ""%(playlist)s/%(chapter_number)s - %(chapter)s/%(title)s.%(ext)s"" ""https://www.udemy.com/java-tutorial""" Toggle play/pause,mpc toggle Stop playing,mpc stop Show information about the currently playing song,mpc status Play the next song,mpc next Play the previous song,mpc prev Seek `n` seconds forward (`+`) or backward (`-`),mpc +n|-n Display version,pulumi version Display help,pulumi version -h|--help Calculate contributions for the current Git repository,git fame Exclude files/directories that match the specified regular expression,"git fame --excl ""regular_expression""" Calculate contributions made after the specified date,"git fame --since ""3 weeks ago|2021-05-13""" Display contributions in the specified format,git fame --format pipe|yaml|json|csv|tsv Display contributions per file extension,git fame --bytype Ignore whitespace changes,git fame --ignore-whitespace Detect inter-file line moves and copies,git fame -C Detect intra-file line moves and copies,git fame -M View an XLSX or CSV file,x_x file.xlsx|file.csv "View an XLSX or CSV file, using the first row as table headers",x_x -h 0 file.xlsx|file.csv View a CSV file with unconventional delimiters,x_x --delimiter=';' --quotechar='|' file.csv Start an interactive environment for evaluating Nix expressions,nix repl Load all packages from a flake (e.g. `nixpkgs`) into scope,:lf nixpkgs Build a package from an expression,:b expression Start a shell with package from the expression available,:u expression Start a shell with dependencies of the package from the expression available,:s expression Start `terminator` window,terminator Start with a fullscreen window,terminator -f Split terminals horizontally, + + O Split terminals vertically, + + E Open new tab, + + T Remove a package from the current project,npm uninstall package_name Remove a package globally,npm uninstall -g package_name Remove multiple packages at once,npm uninstall package_name1 package_name2 ... Convert a translated PO file back to a document,po4a-translate --format text --master path/to/master.doc --po path/to/result.po --localized path/to/translated.txt List all available formats,po4a-translate --help-format "Export a collection to `stdout`, formatted as JSON",mongoexport --uri=connection_string --collection=collection_name Export the documents in the specified collection that match a query to a JSON file,"mongoexport --db=database_name --collection=collection_name --query=""query_object"" --out=path/to/file.json" Export documents as a JSON array instead of one object per line,mongoexport --collection=collection_name --jsonArray Export documents to a CSV file,"mongoexport --collection=collection_name --type=csv --fields=""field1,field2,..."" --out=path/to/file.csv" "Export documents that match the query in the specified file to a CSV file, omitting the list of field names on the first line","mongoexport --collection=collection_name --type=csv --fields=""field1,field2,..."" --queryFile=path/to/file --noHeaderLine --out=path/to/file.csv" "Export documents to `stdout`, formatted as human-readable JSON",mongoexport --uri=mongodb_uri --collection=collection_name --pretty Display help,mongoexport --help Show a notification with a given title and message,"dunstify ""Title"" ""Message""" Show a notification with specified urgency,"dunstify ""Title"" ""Message"" -u low|normal|critical" Specify a message ID (overwrites any previous messages with the same ID),"dunstify ""Title"" ""Message"" -r 123" Display help,dunstify --help Validate a specific file,html5validator path/to/file Validate all HTML files in a specific directory,html5validator --root path/to/directory Show warnings as well as errors,html5validator --show-warnings path/to/file Match multiple files using a glob pattern,"html5validator --root path/to/directory --match ""*.html *.php""" Ignore specific directory names,"html5validator --root path/to/directory --blacklist ""node_modules vendor""" Output the results in a specific format,html5validator --format gnu|xml|json|text path/to/file Output the log at a specific verbosity level,html5validator --root path/to/directory --log debug|info|warning Show file information,osmium fileinfo path/to/input.osm Display contents,osmium show path/to/input.osm Convert file format from PBF into XML,osmium cat path/to/input.osm.pbf -o path/to/output.osm Extract a geographic region by the given [b]ounding box,"osmium extract -b min_longitude,min_latitude,max_longitude,max_latitude path/to/input.pbf -o path/to/output.pbf" Extract a geographic region by a GeoJSON file,osmium extract -p path/to/polygon.geojson path/to/input.pbf -o path/to/output.pbf "Filter all objects tagged as ""restaurant""",osmium tags-filter path/to/input.pbf amenity=restaurant -o path/to/output.pbf "Filter for ""way"" objects tagged as ""highway""",osmium tags-filter path/to/input.pbf w/highway -o path/to/output.pbf "Filter ""way"" and ""relation"" objects tagged as ""building""",osmium tags-filter path/to/input.pbf wr/building -o path/to/output.pbf Download a URL to a file,axel url Download and specify an [o]utput file,axel url -o path/to/file Download with a specific [n]umber connections,axel -n connections_num url [S]earch for mirrors,axel -S mirrors_num url Limit download [s]peed (bytes per second),axel -s speed url Rebase image,crane rebase New base image to insert,crane rebase --new_base image_name Old base image to remove,crane rebase --old_base image_name Tag to apply to rebased image,crane rebase -t|--tag tag_name Display help,crane rebase -h|--help Traceroute to a host and continuously ping all intermediary hops,mtr example.com Disable IP address and host name mapping,mtr --no-dns example.com Generate output after pinging each hop 10 times,mtr --report-wide example.com Force IP IPv4 or IPV6,mtr -4 example.com Wait for a given time (in seconds) before sending another packet to the same hop,mtr --interval 10 example.com Display the Autonomous System Number (ASN) for each hop,mtr --aslookup example.com Display both IP address and reverse DNS name,mtr --show-ips example.com List the contents of the tree on a branch,git ls-tree branch_name "List the contents of the tree on a commit, recursing into subtrees",git ls-tree -r commit_hash List only the filenames of the tree on a commit,git ls-tree --name-only commit_hash Print the filenames of the current branch head in a tree structure (Note: `tree --fromfile` is not supported on Windows),git ls-tree -r --name-only HEAD | tree --fromfile "Yank using the default delimiters (\f, \n, \r, \s, \t)",sudo dmesg | yank Yank an entire line,sudo dmesg | yank -l Yank using a specific delimiter,echo hello=world | yank -d = Only yank fields matching a specific pattern,"ps ux | yank -g ""[0-9]+""" Download a specific anime,animdl download anime_title Download a specific anime by specifying an episode range,animdl download anime_title -r|--range start_episode-end_episode Download a specific anime by specifying a download directory,animdl download anime_title -d|--download-dir path/to/download_directory Grab the stream URL for a specific anime,animdl grab anime_title Display the upcoming anime schedule for the next week,animdl schedule Search a specific anime,animdl search anime_title Stream a specific anime,animdl stream anime_title Stream the latest episode of a specific anime,animdl stream anime_title -s|--special latest "Copy an existing file in a Git repo, staying in the same directory",git cp file new_file Copy an existing file in a Git repo and place it elsewhere,git cp path/to/file path/to/new_file Create a new secret from `stdin`,command | docker secret create secret_name - Create a new secret from a file,docker secret create secret_name path/to/file List all secrets,docker secret ls Display detailed information on one or multiple secrets in a human friendly format,docker secret inspect --pretty secret_name1 secret_name2 ... Remove one or more secrets,docker secret rm secret_name1 secret_name2 ... Create a virtual environment,vf new virtualenv_name Create a virtual environment for a specific Python version,vf new --python /usr/local/bin/python3.8 virtualenv_name Activate and use the specified virtual environment,vf activate virtualenv_name "Connect the current virtualenv to the current directory, so that it is activated automatically as soon as you enter it (and deactivated as soon as you leave)",vf connect Deactivate the current virtual environment,vf deactivate List all virtual environments,vf ls Remove a virtual environment,vf rm virtualenv_name Display help,vf help Convert a graph from `gxl` to `gv` format,gxl2gv -o output.gv input.gxl Convert a graph using `stdin` and `stdout`,cat input.gxl | gxl2gv > output.gv Display help,gxl2gv -? Convert a PBM image to a MACP file,pbmtomacp path/to/image.pbm > path/to/output.macp Do not compress the output file,pbmtomacp -norle path/to/image.pbm > path/to/output.macp Analyze the current working directory,ncdu Colorize output,ncdu --color dark|off Analyze a given directory,ncdu path/to/directory Save results to a file,ncdu -o path/to/file "Exclude files that match a pattern, argument can be given multiple times to add more patterns",ncdu --exclude '*.txt' Generate Python code from a `.proto` file,protoc --python_out=path/to/output_directory input_file.proto Generate Java code from a `.proto` file that imports other `.proto` files,protoc --java_out=path/to/output_directory --proto_path=path/to/import_search_path input_file.proto Generate code for multiple languages,protoc --csharp_out=path/to/c#_output_directory --js_out=path/to/js_output_directory input_file.proto Print a specific word definition,mate-dictionary --no-window --look-up 'word' Show similar words for a specific one in a new window,mate-dictionary --match 'word' Unstage everything,git reset Unstage specific file(s),git reset path/to/file1 path/to/file2 ... Interactively unstage portions of a file,git reset --patch path/to/file "Undo the last commit, keeping its changes (and any further uncommitted changes) in the filesystem",git reset HEAD~ "Undo the last two commits, adding their changes to the index, i.e. staged for commit",git reset --soft HEAD~2 "Discard any uncommitted changes, staged or not (for only unstaged changes, use `git checkout`)",git reset --hard "Reset the repository to a given commit, discarding committed, staged and uncommitted changes since then",git reset --hard commit Start a new game,openttd -g Load save game at start,openttd -g path/to/file Start with the specified window resolution,openttd -r 1920x1080 Start with a custom configuration file,openttd -c path/to/file "Start with selected video, sound, and music drivers",openttd -v video_driver -s sound_driver -m music_driver "Start a dedicated server, forked in the background",openttd -f -D host:port Join a server with a password,openttd -n host:port#player_name -p password Add a plugin,hyprpm add git_url Remove a plugin,hyprpm remove git_url|plugin_name Enable a plugin,hyprpm enable plugin_name Disable a plugin,hyprpm disable plugin_name Update and check all plugins,hyprpm update Force an operation,hyprpm -f|--force operation List all installed plugins,hyprpm list "Install the `base` package, Linux kernel and firmware for common hardware",pacstrap path/to/new/root base linux linux-firmware "Install the `base` package, Linux LTS kernel and `base-devel` build tools",pacstrap path/to/new/root base base-devel linux-lts Install packages without copy the host's mirrorlist to the target,pacstrap -M path/to/new/root packages Use an alternate configuration file for Pacman,pacstrap -C path/to/pacman.conf path/to/new/root packages Install packages using the package cache on the host instead of on the target,pacstrap -c path/to/new/root packages Initialize an empty `pacman` keyring in the target without copying it from the host,pacstrap -K path/to/new/root packages Install packages in interactive mode (prompts for confirmation),pacstrap -i path/to/new/root packages Install packages using package files,pacstrap -U path/to/new/root path/to/package1 path/to/package2 Disassemble and list one or more `.class` files,javap path/to/file1.class path/to/file2.class ... Disassemble and list a built-in class file,javap java.package.class Display help,javap -help Display version,javap -version Incorporate changes from the named commits into the current branch,dolt merge branch_name Incorporate changes from the named commits into the current branch without updating the commit history,dolt merge --squash branch_name Merge a branch and create a merge commit even when the merge resolves as a fast-forward,dolt merge --no-ff branch_name Merge a branch and create a merge commit with a specific commit message,"dolt merge --no-ff -m ""message"" branch_name" Abort the current conflict resolution process,dolt merge --abort Remove all but the 3 most recent package versions from the `pacman` cache,paccache -r Set the number of package versions to keep,paccache -rk num_versions Perform a dry-run and show the number of candidate packages for deletion,paccache -d Move candidate packages to a directory instead of deleting them,paccache -m path/to/directory Start the calculator,mate-calc Calculate a specific mathematic expression,mate-calc --solve 2 + 5 Count all the lines of code in a directory,cloc path/to/directory "Count all the lines of code in a directory, displaying a progress bar during the counting process",cloc --progress=1 path/to/directory Compare 2 directory structures and count the differences between them,cloc --diff path/to/directory/one path/to/directory/two "Ignore files that are ignored by VCS, such as files specified in `.gitignore`",cloc --vcs git path/to/directory "Count all the lines of code in a directory, displaying the results for each file instead of each language",cloc --by-file path/to/directory Print statistics about configured subvolumes and snapshots,sudo btrbk stats List configured subvolumes and snapshots,sudo btrbk list Print what would happen in a run without making the displayed changes,sudo btrbk --verbose dryrun "Run backup routines verbosely, show progress bar",sudo btrbk --progress --verbose run Only create snapshots for configured subvolumes,sudo btrbk snapshot "Print the contents of the system `PATH` variable, with one element per line",lspath "Print the current contents of the system `PATH` variable, with one element per line, with the output paged",lspath --page Check a single Python file,pyflakes check path/to/file.py Check Python files in a specific directory,pyflakes checkPath path/to/directory Check Python files in a directory recursively,pyflakes checkRecursive path/to/directory Check all Python files found in multiple directories,pyflakes iterSourceCode path/to/directory_1 path/to/directory_2 Build binary and source packages,rpmbuild -ba path/to/spec_file Build a binary package without source package,rpmbuild -bb path/to/spec_file Specify additional variables when building a package,"rpmbuild -bb path/to/spec_file --define ""variable1 value1"" --define ""variable2 value2""" Run command in a new container from a tagged image,docker run image:tag command Run command in a new container in background and display its ID,docker run --detach image command Run command in a one-off container in interactive mode and pseudo-TTY,docker run --rm --interactive --tty image command Run command in a new container with passed environment variables,docker run --env 'variable=value' --env variable image command Run command in a new container with bind mounted volumes,docker run --volume /path/to/host_path:/path/to/container_path image command Run command in a new container with published ports,docker run --publish host_port:container_port image command Run command in a new container overwriting the entrypoint of the image,docker run --entrypoint command image Run command in a new container connecting it to a network,docker run --network network image Search the pubmed database for selective serotonin reuptake inhibitor,"esearch -db pubmed -query ""selective serotonin reuptake inhibitor""" Search the protein database using a query and regexp,esearch -db protein -query 'Escherichia*' Search the nucleotide database for sequences whose metadata contain insulin and rodents,"esearch -db nuccore -query ""insulin [PROT] AND rodents [ORGN]""" Display [h]elp,esearch -h Edit the configuration file,pixi config edit List all configurations,pixi config list Prepend a value to a list configuration key,pixi config prepend key value Append a value to a list configuration key,pixi config append key value Set a configuration key to a value,pixi config set key value Unset a configuration key,pixi config unset key Submit a script with default settings (depends on TORQUE settings),qsub script.sh "Submit a script with a specified wallclock runtime limit of 1 hour, 2 minutes and 3 seconds",qsub -l walltime=1:2:3 script.sh Submit a script that is executed on 2 nodes using 4 cores per node,qsub -l nodes=2:ppn=4 script.sh Submit a script to a specific queue. Note that different queues can have different maximum and minimum runtime limits,qsub -q queue_name script.sh Search for valid credentials by trying out every combination in the specified lists of [u]sernames and [p]asswords,nxc mssql 192.168.178.2 -u path/to/usernames.txt -p path/to/passwords.txt Execute the specified SQL [q]uery on the target server,nxc mssql 192.168.178.2 -u username -p password --query 'SELECT * FROM sys.databases;' Execute the specified shell command on the target server through MSSQL,nxc mssql 192.168.178.2 -u username -p password -x whoami Execute the specified PowerShell command on the target server through MSSQL without retrieving output,nxc mssql 192.168.178.2 -u username -p password -X whoami --no-output Download a remote file from the target server and store it in the specified location,nxc mssql 192.168.178.2 -u username -p password --get-file C:\path\to\remote_file path/to/local_file Upload a local file to the specified location on the target server,nxc mssql 192.168.178.2 -u username -p password --put-file path/to/local_file C:\path\to\remote_file Create a virtual monitor,krfb-virtualmonitor --resolution 1920x1080 --name monitor_name --password password --port 5900 Toggle Guake visibility,F12 Toggle fullscreen mode,F11 Open a new tab, + + T Close the terminal, + X Go to the previous tab, + Search the selected text in the browser, + + L Remove grey shadows from a PGM image,pgmdeshadow path/to/input_file.pgm > path/to/output_file.pgm Make and download a package,pkgmk -d Install the package after making it,pkgmk -d -i Upgrade the package after making it,pkgmk -d -u Ignore the footprint when making a package,pkgmk -d -if Ignore the MD5 sum when making a package,pkgmk -d -im Update the package's footprint,pkgmk -uf Clean up a PBM image by erasing isolated black and white pixels,pbmclean path/to/image.pbm > path/to/output.pbm Clean up only black/white pixels,pbmclean -black|white path/to/image.pbm > path/to/output.pbm Specify the minimum number of neighbouring pixels of the same color in order for a pixel not to be considered isolated,pbmclean -minneighbours 3 path/to/image.pbm > path/to/output.pbm Initialise the environment,devenv init Enter the Development Environment with relaxed hermeticity (state of being airtight),devenv shell --impure Get detailed information about the current environment,devenv info --verbose Start processes with `devenv`,devenv up --config /file/path/ Clean the environment variables and re-enter the shell in offline mode,devenv --clean --offline Delete the previous shell generations,devenv gc Add a `.gz` extension to the supplied Gzip files (Note: other files are ignored),zforce path/to/file1 path/to/file2 ... "Obtain a new certificate via webroot authorization, but do not install it automatically",sudo certbot certonly --webroot --webroot-path path/to/webroot --domain subdomain.example.com "Obtain a new certificate via nginx authorization, installing the new certificate automatically",sudo certbot --nginx --domain subdomain.example.com "Obtain a new certificate via apache authorization, installing the new certificate automatically",sudo certbot --apache --domain subdomain.example.com Renew all Let's Encrypt certificates that expire in 30 days or less (don't forget to restart any servers that use them afterwards),sudo certbot renew "Simulate the obtaining of a new certificate, but don't actually save any new certificates to disk",sudo certbot --webroot --webroot-path path/to/webroot --domain subdomain.example.com --dry-run Obtain an untrusted test certificate instead,sudo certbot --webroot --webroot-path path/to/webroot --domain subdomain.example.com --test-cert Take a list of file names from `stdin` and add them [o]nto an archive in cpio's binary format,"echo ""path/to/file1 path/to/file2 ..."" | cpio -o > archive.cpio" "Copy all files and directories in a directory and add them [o]nto an archive, in [v]erbose mode",find path/to/directory | cpio -ov > archive.cpio "P[i]ck all files from an archive, generating [d]irectories where needed, in [v]erbose mode",cpio -idv < archive.cpio List connected minions,salt '*' test.ping Execute a highstate on all connected minions,salt '*' state.highstate "Upgrade packages using the OS package manager (apt, yum, brew) on a subset of minions",salt '*.example.com' pkg.upgrade Execute an arbitrary command on a particular minion,"salt 'minion_id' cmd.run ""ls """ Update the year (range) to include the current year for the specified files,"mh_copyright --primary-entity=""entity"" --update-year path/to/file_or_directory1.m path/to/file_or_director2.m ..." Update the year (range) to include the current year for all files,"mh_copyright --primary-entity=""entity"" --update-year" List leftover files and interactively choose what to do with each of them,sudo rpmconf --all Delete orphaned RPMNEW and RPMSAVE files,sudo rpmconf --all --clean Format given files or directories in-place,ruff format path/to/file_or_directory1 path/to/file_or_directory2 ... "Print which files would have been modified and return a non-zero exit code if there are files to reformat, and zero otherwise",ruff format --check Print what changes would be made without modifying the files,ruff format --diff Print the statuses of all network interfaces,nmcli device status Print the available Wi-Fi access points,nmcli device wifi Connect to a Wi-Fi network with the specified SSID (you will be prompted for a password),nmcli --ask device wifi connect ssid Print the password and QR code for the current Wi-Fi network,nmcli device wifi show-password Reset all tracked files and delete all untracked files even if they are included in the `.gitignore`,git clear Set the `fuck` alias to `thefuck` tool,"eval ""$(thefuck --alias)""" Try to match a rule for the previous command,fuck Confirm the first choice immediately (correct argument depends on level of annoyance),fuck --yes|yeah|hard List all properties in one's active configuration,gcloud config list Login to a Google account,gcloud auth login Set the active project,gcloud config set project project_name SSH into a virtual machine instance,gcloud compute ssh user@instance Display all Google Compute Engine instances in a project (by default instances from all zones are listed),gcloud compute instances list Update a kubeconfig file with the appropriate credentials to point `kubectl` to a specific cluster in Google Kubernetes Engine (GKE),gcloud container clusters get-credentials cluster_name Update all `gcloud` components,gcloud components update Display help for a given command,gcloud help command Generate a local policy to allow access for all denied services,sudo audit2allow --all -M local_policy_name Generate a local policy module to grant access to a specific process/service/command from the audit logs,sudo grep apache2 /var/log/audit/audit.log | sudo audit2allow -M local_policy_name Inspect and review the Type Enforcement (.te) file for a local policy,vim local_policy_name.te Install a local policy module,sudo semodule -i local_policy_name.pp Describe the format and basic characteristics of an image,magick identify path/to/image Describe the format and verbose characteristics of an image,magick identify -verbose path/to/image Collect dimensions of all JPEG files in the current directory and save them into a CSV file,"magick identify -format ""%f,%w,%h\n"" *.jpg > path/to/filelist.csv" Create a serverless project,serverless create Create a serverless project from a template,serverless create --template template_name Deploy to a cloud provider,serverless deploy Display information about a serverless project,serverless info Invoke a deployed function,serverless invoke -f function_name Follow the logs for a project,serverless logs -t Convert `filename.pdf` to plain text and print it to `stdout`,pdftotext filename.pdf - Convert `filename.pdf` to plain text and save it as `filename.txt`,pdftotext filename.pdf Convert `filename.pdf` to plain text and preserve the layout,pdftotext -layout filename.pdf Convert `input.pdf` to plain text and save it as `output.txt`,pdftotext input.pdf output.txt "Convert pages 2, 3 and 4 of `input.pdf` to plain text and save them as `output.txt`",pdftotext -f 2 -l 4 input.pdf output.txt View documentation for `pamscale`,tldr pamscale List VMs on current tenant,nova list List VMs of all tenants (admin user only),nova list --all-tenants Boot a VM on a specific host,nova boot --nic net-id=net_id --image image_id --flavor flavor --availability-zone nova:host_name vm_name Start a server,nova start server Stop a server,nova stop server Attach a network interface to a specific VM,nova interface-attach --net-id net_id server Adjust one or more directed graphs to improve the layout aspect ratio,unflatten path/to/input1.gv path/to/input2.gv ... > path/to/output.gv Use `unflatten` as a preprocessor for `dot` layout to improve aspect ratio,unflatten path/to/input.gv | dot -T png path/to/output.png Display help,unflatten -? List all available repositories from which a package can be installed,tlmgr candidates package Create a menu out of individual words,select word in apple orange pear banana; do echo $word; done Create a menu from the output of another command,select line in $(command); do echo $line; done Specify the prompt string for `select` and create a menu for picking a file or folder from the current directory,"PS3=""Select a file: ""; select file in *; do echo $file; done" Create a menu from a Bash array,fruits=(apple orange pear banana); select word in ${fruits[@]}; do echo $word; done View a compressed file,xzmore path/to/file Switch to directory and push it on the stack,pushd path/to/directory Switch first and second directories on the stack,pushd Rotate stack by making the 5th element the top of the stack,pushd +4 Rotate the stack 4 times to the left (the current directory stays at the top by replacing the 5th element),pushd -n +4 Run the `command` and print the time measurements to `stdout`,time command Create a very simple stopwatch (only works in Bash),time read Start CliFM,clifm Open the file or directory whose ELN (entry list number) is 12,12 Create a new file and a new directory,n file dir/ Search for PDF files in the current directory,/*.pdf Select all PNG files in the current directory,s *.png Remove the previously selected files (use `t` to send the files to the recycle bin instead),r sel Display help,? Exit CliFM,q Check connection,pg_isready Check connection with a specific hostname and port,pg_isready --host=hostname --port=port Check connection displaying a message only when the connection fails,pg_isready --quiet Display the content of a Parquet file,parquet-tools cat path/to/parquet Display the first few lines of a Parquet file,parquet-tools head path/to/parquet Print the schema of a Parquet file,parquet-tools schema path/to/parquet Print the metadata of a Parquet file,parquet-tools meta path/to/parquet Print the content and metadata of a Parquet file,parquet-tools dump path/to/parquet Concatenate several Parquet files into the target one,parquet-tools merge path/to/parquet1 path/to/parquet2 path/to/target_parquet Print the count of rows in a Parquet file,parquet-tools rowcount path/to/parquet Print the column and offset indexes of a Parquet file,parquet-tools column-index path/to/parquet Enable [a]synchronous scrolling,cmatrix -a Change the text [C]olor (green by default),cmatrix -C red Enable [r]ainbow mode,cmatrix -r Use a screen [u]pdate delay of 100 centiseconds (1 second),cmatrix -u 100 Start an HTTP server listening on the default port to serve the current directory,serve Start an HTTP server on a specific [p]ort to serve a specific directory,serve -p port path/to/directory Start an HTTP server with CORS enabled by including the `Access-Control-Allow-Origin: *` header in all responses,serve --cors Start an HTTP server on the default port rewriting all not-found requests to the `index.html` file,serve --single Start an HTTPS server on the default port using the specified certificate,serve --ssl-cert path/to/cert.pem --ssl-key path/to/key.pem Start an HTTP server on the default port using a specific configuration file,serve --config path/to/serve.json Display help,serve --help Scan directories to find and list packages with broken library links that need to be rebuilt,lddd Visualize the entire pipeline,dvc dag Visualize the pipeline stages up to a specified target stage,dvc dag target Export the pipeline in the dot format,dvc dag --dot > path/to/pipeline.dot Calculate the intersection between images and output an image where each pixel is the difference between corresponding pixels in input images,diffimg path/to/input_image1.ext path/to/input_image2.ext path/to/output_image.ext Extract the patch and author data from an email message,git mailinfo message|patch Extract but remove leading and trailing whitespace,git mailinfo -k message|patch "Remove everything from the body before a scissors line (e.g. ""-->* --"") and retrieve the message or patch",git mailinfo --scissors message|patch Monitor all device events,sudo udevadm monitor Print `uevents` sent out by the kernel,sudo udevadm monitor --kernel Print device events after being processed by `udev`,sudo udevadm monitor --udev List attributes of device `/dev/sda`,sudo udevadm info --attribute-walk /dev/sda Reload all `udev` rules,sudo udevadm control --reload-rules Trigger all `udev` rules to run,sudo udevadm trigger Test an event run by simulating loading of `/dev/sda`,sudo udevadm test /dev/sda Construct the transitive reduction graph of one or more directed graphs,tred path/to/input1.gv path/to/input2.gv ... > path/to/output.gv Display help,tred -? Run a command using Tor,torsocks command Enable or disable Tor in this shell,. torsocks on|off Spawn a new Tor enabled shell,torsocks --shell Check if current shell is Tor enabled (`LD_PRELOAD` value will be empty if disabled),torsocks show "[i]solate traffic through a different Tor circuit, improving anonymity",torsocks --isolate curl https://check.torproject.org/api/ip Connect to a Tor proxy running on a specific [a]ddress and [P]ort,torsocks --address ip --port port command Play a WAV sound file over the default target,pw-play path/to/file.wav Play a WAV sound file at a different volume level,pw-play --volume=0.1 path/to/file.wav Compile a source file into an executable,iverilog path/to/source.v -o path/to/executable Compile a source file into an executable while displaying all warnings,iverilog path/to/source.v -Wall -o path/to/executable Compile and run explicitly using the VVP runtime,iverilog -o path/to/executable -tvvp path/to/source.v Compile using Verilog library files from a different path,iverilog path/to/source.v -o path/to/executable -Ipath/to/library_directory Preprocess Verilog code without compiling,iverilog -E path/to/source.v Activate the configuration defined in `~/.config/nixpkgs/home.nix`,home-manager build Activate the configuration and switch to it,home-manager switch List all queues,sqsc lq queue_prefix List all messages in a queue,sqsc ls queue_name Copy all messages from one queue to another,sqsc cp source_queue destination_queue Move all messages from one queue to another,sqsc mv source_queue destination_queue Describe a queue,sqsc describe queue_name Query a queue with SQL syntax,"sqsc query ""SELECT body FROM queue_name WHERE body LIKE '%user%'""" Pull all messages from a queue into a local SQLite database in your present working directory,sqsc pull queue_name Check a specific `PKGBUILD` file,namcap path/to/pkgbuild Check a specific package file,namcap path/to/package.pkg.tar.zst "Check a file, printing extra [i]nformational messages",namcap -i path/to/file Open a new window of the default browser,sensible-browser Open a URL in the default browser,sensible-browser url "Record the screen and write the recording to a file (by default, `byzanz-record` will only record for 10 seconds)",byzanz-record path/to/file.[byzanz|flv|gif|ogg|ogv|webm] Show information while and after recording,byzanz-record --verbose path/to/file.[byzanz|flv|gif|ogg|ogv|webm] Record the screen for a minute,byzanz-record --duration 60 path/to/file.[byzanz|flv|gif|ogg|ogv|webm] Delay recording for 10 seconds,byzanz-record --delay 10 path/to/file.[byzanz|flv|gif|ogg|ogv|webm] Start an HTTP server on the default port to upload files to the current directory,http-server-upload Start an HTTP server with the specified maximum allowed file size for uploads in MiB (defaults to 200 MiB),MAX_FILE_SIZE=size_in_megabytes http-server-upload Start an HTTP server on a specific port to upload files to the current directory,PORT=port http-server-upload "Start an HTTP server, storing the uploaded files in a specific directory",UPLOAD_DIR=path/to/directory http-server-upload Start an HTTP server using a specific directory to temporarily store files during the upload process,UPLOAD_TMP_DIR=path/to/directory http-server-upload Start an HTTP server accepting uploads with a specific token field in the HTTP post,TOKEN=secret http-server-upload Convert a PBM image into a PPA file,pbmtoppa path/to/image.pbm > path/to/output.ppa Specify the desired dots-per-inch and paper size,pbmtoppa -d 300 -s a4 path/to/image.pbm > path/to/output.ppa List Slurm share information,sshare Control the output format,sshare --parsable|parsable2|json|yaml Control the fields to display,sshare --format=format_string Display information for the specified users only,"sshare --users=user_id_1,user_id_2,..." Build the package and pass options to `rustc`,cargo rustc -- rustc_options "Build artifacts in release mode, with optimizations",cargo rustc --release Compile with architecture-specific optimizations for the current CPU,cargo rustc --release -- -C target-cpu=native Compile with speed optimizations,cargo rustc -- -C opt-level 1|2|3 Compile with [s]ize optimizations (`z` also turns off loop vectorization),cargo rustc -- -C opt-level s|z Check if your package uses unsafe code,cargo rustc --lib -- -D unsafe-code Build a specific package,cargo rustc --package package Build only the specified binary,cargo --bin name Download a file from a URL,gdown url Download using a file ID,gdown file_id Download with fuzzy file ID extraction (also works with links),gdown --fuzzy url Download a folder using its ID or the full URL,gdown folder_id|url -O path/to/output_directory --folder "Download a tar archive, write it to `stdout` and extract it",gdown tar_url -O - --quiet | tar xvf - Create a new volume through a text user interface and use `/dev/urandom` as a source of random data,veracrypt --text --create --random-source=/dev/urandom Decrypt a volume interactively through a text user interface and mount it to a directory,veracrypt --text path/to/volume path/to/mount_point Decrypt a partition using a keyfile and mount it to a directory,veracrypt --keyfiles=path/to/keyfile /dev/sdXN path/to/mount_point Dismount a volume on the directory it is mounted to,veracrypt --dismount path/to/mounted_point Identify all duplicates in a given directory and output a summary,rdfind -dryrun true path/to/directory Replace all duplicates with hardlinks,rdfind -makehardlinks true path/to/directory Replace all duplicates with symlinks/soft links,rdfind -makesymlinks true path/to/directory Delete all duplicates and do not ignore empty files,rdfind -deleteduplicates true -ignoreempty false path/to/directory Display a mirror of a connected device,scrcpy Display a mirror of a specific device based on its ID or IP address (find it under the `adb devices` command),scrcpy --serial 0123456789abcdef|192.168.0.1:5555 Start display in fullscreen mode,scrcpy --fullscreen Rotate the display screen. Each incremental value adds a 90 degree counterclockwise rotation,scrcpy --rotation 0|1|2|3 Show touches on physical device,scrcpy --show-touches Record display screen,scrcpy --record path/to/file.mp4 Specify the target directory for pushing files to device by drag and drop (non-APK),scrcpy --push-target path/to/directory Convert `warts` files to JSON and output the result,sc_warts2json path/to/file1.warts path/to/file2.warts ... Show disk quotas in human-readable units for the current user,quota -s Verbose output (also display quotas on filesystems where no storage is allocated),quota -v Quiet output (only display quotas on filesystems where usage is over quota),quota -q Print quotas for the groups of which the current user is a member,quota -g Show disk quotas for another user,sudo quota -u username Check how many free blocks are present as contiguous and aligned free space,e2freefrag /dev/sdXN Specify chunk size in kilobytes to print how many free chunks are available,e2freefrag -c chunk_size_in_kb /dev/sdXN Render a CommonMark Markdown file to HTML,cmark --to html filename.md Convert data from `stdin` to LaTeX,cmark --to latex Convert straight quotes to smart quotes,cmark --smart --to html filename.md Validate UTF-8 characters,cmark --validate-utf8 filename.md "Initialize project files (`lerna.json`, `package.json`, `.git`, etc.)",lerna init Install all external dependencies of each package and symlink together local dependencies,lerna bootstrap Run a specific script for every package that contains it in its `package.json`,lerna run script Execute an arbitrary shell command in every package,lerna exec -- ls Publish all packages that have changed since the last release,lerna publish List the partitions on a block device or disk image,sudo partx --list path/to/device_or_disk_image Add all the partitions found in a given block device to the kernel,sudo partx --add --verbose path/to/device_or_disk_image Delete all the partitions found from the kernel (does not alter partitions on disk),sudo partx --delete path/to/device_or_disk_image Unmount a FUSE filesystem,fusermount -u path/to/mount_point Unmount a FUSE filesystem as soon as it becomes unused,fusermount -z path/to/mount_point Display version,fusermount --version List available power profiles,powerprofilesctl list Set a specific power profile,powerprofilesctl set profile_name Create a new project,gitlab create_project project_name Get info about a specific commit,gitlab commit project_name commit_hash Get info about jobs in a CI pipeline,gitlab pipeline_jobs project_name pipeline_id Start a specific CI job,gitlab job_play project_name job_id Run tests without committing changes,codecrafters test Run tests for all previous stages and the current stage without committing changes,codecrafters test --previous "Commit changes and submit, to move to the next stage",codecrafters submit Append manifest to a remote index,crane index append Reference to manifests to append to the base index,crane index append -m|--manifest manifest_name1 manifest_name2 ... Tag to apply to resulting image,crane index append -t|--tag tag_name Empty base index will have Docker media types instead of OCI,crane index append --docker-empty-base Append each of its children rather than the index itself (defaults true),crane index append --flatten Display help,crane index append -h|--help Open TUI,cointop Clear the cache,cointop clean Display current holdings legibly,cointop holdings --human Check price of coin(s),"cointop price --coins coin_name1,coin_name2,..." Display version,cointop version Clone a GitHub repository locally,gh repo clone owner/repository Create a new issue,gh issue create View and filter the open issues of the current repository,gh issue list View an issue in the default web browser,gh issue view --web issue_number Create a pull request,gh pr create View a pull request in the default web browser,gh pr view --web pr_number Check out a specific pull request locally,gh pr checkout pr_number Check the status of a repository's pull requests,gh pr status Encrypt files listed in the designated encrypt file,yadm encrypt Create the necessary files and folders for encryption,touch path/to/encrypt_file && mkdir path/to/archive_folder Edit the password file,vipw Display version,vipw --version Convert a CoffeeScript file to JavaScript,decaffeinate path/to/file.coffee Convert a CoffeeScript v2 file to JavaScript,decaffeinate --use-cs2 path/to/file.coffee Convert require and `module.exports` to import and export,decaffeinate --use-js-modules path/to/file.coffee "Convert a CoffeeScript, allowing named exports",decaffeinate --loose-js-modules path/to/file.coffee Read input,line Create a tree object from the current index,git write-tree Create a tree object without checking whether objects referenced by the directory exist in the object database,git write-tree --missing-ok Create a tree object that represents a subdirectory (used to write the tree object for a subproject in the named subdirectory),git write-tree --prefix subdirectory/ List all boot options with their numbers,efibootmgr -u|--unicode Add UEFI Shell v2 as a boot option,"sudo efibootmgr -c -d /dev/sda -p 1 -l ""\path\to\shell.efi"" -L ""UEFI Shell""" Add Linux as a boot option,"sudo efibootmgr --create --disk /dev/sda --part 1 --loader ""\vmlinuz"" --unicode ""kernel_cmdline"" --label ""Linux""" Change the current boot order,"sudo efibootmgr -o|--bootorder 0002,0008,0001,0005" Delete a boot option,sudo efibootmgr -b|--bootnum 0008 -B|--delete-bootnum Send coverage information to Coveralls,php-coveralls Send coverage information to Coveralls for a specific directory,php-coveralls --root_dir path/to/directory Send coverage information to Coveralls with a specific config,php-coveralls --config path/to/.coveralls.yml Send coverage information to Coveralls with verbose output,php-coveralls --verbose Send coverage information to Coveralls excluding source files with no executable statements,php-coveralls --exclude-no-stmt Send coverage information to Coveralls with a specific environment name,php-coveralls --env test|dev|prod Specify multiple Coverage Clover XML files to upload,php-coveralls --coverage_clover path/to/first_clover.xml --coverage_clover path/to/second_clover.xml Output the JSON that will be sent to Coveralls to a specific file,php-coveralls --json_path path/to/coveralls-upload.json Install one or more plugins,fisher plugin1 plugin2 Install a plugin from a GitHub gist,fisher gist_url Edit 'fishfile' manually with your favorite editor and install multiple plugins,editor ~/.config/fish/fishfile; fisher List installed plugins,fisher ls Update plugins,fisher update Remove one or more plugins,fisher remove plugin1 plugin2 Display all commit hashes and their corresponding commit messages from a specific author,git contrib author Connect `stdin` to a port (relative to `/dev`) and optionally specify a baud rate (defaults to 9600),agetty tty 115200 Assume `stdin` is already connected to a `tty` and set a timeout for the login,agetty -t|--timeout timeout_in_seconds - "Assume the `tty` is [8]-bit, overriding the `TERM` environment variable set by `init`",agetty -8 - term_var "Skip the login (no login) and invoke, as root, another login program instead of `/bin/login`",agetty -n|--skip-login -l|--login-program login_program tty Do not display the pre-login (issue) file (`/etc/issue` by default) before writing the login prompt,agetty -i|--noissue - Change the root directory and write a specific fake host into the `utmp` file,agetty -r|--chroot /path/to/root_directory -H|--host fake_host - "Synchronize list of packages and versions available. This should be run first, before running subsequent `aptitude` commands",aptitude update Install a new package and its dependencies,aptitude install package Search for a package,aptitude search package Search for an installed package (`?installed` is an `aptitude` search term),aptitude search '?installed(package)' Remove a package and all packages depending on it,aptitude remove package Upgrade installed packages to the newest available versions,aptitude upgrade Upgrade installed packages (like `aptitude upgrade`) including removing obsolete packages and installing additional packages to meet new package dependencies,aptitude full-upgrade Put an installed package on hold to prevent it from being automatically upgraded,aptitude hold '?installed(package)' Generate a `.phan/config.php` in the current directory,phan --init Generate a Phan configuration file using a specific level (1 being strictest to 5 being the least strict),phan --init --init-level level Analyze the current directory,phan Analyze one or more directories,phan --directory path/to/directory --directory path/to/another_directory Specify a configuration file (defaults to `.phan/config.php`),phan --config-file path/to/config.php Specify the output mode,phan --output-mode text|verbose|json|csv|codeclimate|checkstyle|pylint|html Specify the number of parallel processes,phan --processes number_of_processes View documentation for the current command,tldr pnmcolormap Generate an SM3 hash for a file,gmssl sm3 path/to/file Encrypt a file using the SM4 cipher,gmssl sms4 -e -in path/to/file -out path/to/file.sms4 Decrypt a file using the SM4 cipher,gmssl sms4 -d -in path/to/file.sms4 Generate an SM2 private key,gmssl sm2 -genkey -out path/to/file.pem Generate an SM2 public key from an existing private key,gmssl sm2 -pubout -in path/to/file.pem -out path/to/file.pem.pub Encrypt a file using the ZUC cipher,gmssl zuc -e -in path/to/file -out path/to/file.zuc Decrypt a file using the ZUC cipher,gmssl zuc -d -in path/to/file.zuc Display version,gmssl version Start `peco` on all files in the specified directory,find path/to/directory -type f | peco Start `peco` for running processes,ps aux | peco Start `peco` with a specified query,"peco --query ""query""" Start the daemon with one or more [c]onfiguration files (read in order),babeld -c path/to/ports.conf -c path/to/filters.conf -c path/to/interfaces.conf [D]eamonize after startup,babeld -D Specify a [C]onfiguration command,babeld -C 'redistribute metric 256' Specify on which interfaces to operate,babeld eth0 eth1 wlan0 Apply a commit to the current branch,git cherry-pick commit Apply a range of commits to the current branch (see also `git rebase --onto`),git cherry-pick start_commit~..end_commit Apply multiple (non-sequential) commits to the current branch,git cherry-pick commit1 commit2 ... "Add the changes of a commit to the working directory, without creating a commit",git cherry-pick --no-commit commit View stories on Hacker News,hn View _number_ of stories on Hacker News,hn --limit number "View stories on Hacker News, and keep the list open after selecting a link",hn --keep-open View stories on Hacker News sorted by submission date,hn --latest Run the specified command if and only if `stdin` is not empty,ifne command options ... "Run the specified command if and only if `stdin` is empty, otherwise pass `stdin` to `stdout`",ifne -n command options ... List all ebuild repositories registered on ,eselect repository list List enabled repositories,eselect repository list -i Enable a repository from the list by its name or index from the `list` command,eselect repository enable name|index Enable an unregistered repository,eselect repository add name rsync|git|mercurial|svn|... sync_uri Disable repositories without removing their contents,eselect repository disable repo1 repo2 ... Disable repositories and remove their contents,eselect repository remove repo1 repo2 ... Create a local repository and enable it,eselect repository create name path/to/repo Randomize the order of lines in a file and output the result,shuf path/to/file Only output the first 5 entries of the result,shuf --head-count=5 path/to/file Write the output to another file,shuf path/to/input_file --output=path/to/output_file Generate 3 random numbers in the range 1-10 (inclusive),shuf --head-count=3 --input-range=1-10 --repeat "Run a basic benchmark, performing at least 10 runs",hyperfine 'make' Run a comparative benchmark,hyperfine 'make target1' 'make target2' Change minimum number of benchmarking runs,hyperfine --min-runs 7 'make' Perform benchmark with warmup,hyperfine --warmup 5 'make' "Run a command before each benchmark run (to clear caches, etc.)",hyperfine --prepare 'make clean' 'make' Run a benchmark where a single parameter changes for each run,hyperfine --prepare 'make clean' --parameter-scan num_threads 1 10 'make -j {num_threads}' Initialize a (local) repository,borg init path/to/repo_directory "Backup a directory into the repository, creating an archive called ""Monday""",borg create --progress path/to/repo_directory::Monday path/to/source_directory List all archives in a repository,borg list path/to/repo_directory "Extract a specific directory from the ""Monday"" archive in a remote repository, excluding all `*.ext` files",borg extract user@host:path/to/repo_directory::Monday path/to/target_directory --exclude '*.ext' "Prune a repository by deleting all archives older than 7 days, listing changes",borg prune --keep-within 7d --list path/to/repo_directory Mount a repository as a FUSE filesystem,borg mount path/to/repo_directory::Monday path/to/mountpoint Display help on creating archives,borg create --help Render diagrams to default format (PNG),plantuml diagram1.puml diagram2.puml "Render a diagram in given format (e.g. `png`, `pdf`, `svg`, `txt`)",plantuml -t format diagram.puml Render all diagrams of a directory,plantuml path/to/diagrams Render a diagram to the output directory,plantuml -o path/to/output diagram.puml Render a diagram without storing the diagram's source code (Note: It's stored by default when the `-nometadata` option isn't specified),plantuml -nometadata diagram.png > diagram.puml Retrieve source from a `plantuml` diagram's metadata,plantuml -metadata diagram.png > diagram.puml Render a diagram with the configuration file,plantuml -config config.cfg diagram.puml Display help,plantuml -help Launch Anbox into the app manager,anbox launch --package=org.anbox.appmgr --component=org.anbox.appmgr.AppViewActivity Display help,docker rmi Remove one or more images given their names,docker rmi image1 image2 ... Force remove an image,docker rmi --force image Remove an image without deleting untagged parents,docker rmi --no-prune image Print media information about a specific media on the web,you-get --info https://example.com/video?id=value Download a media from a specific URL,you-get https://example.com/video?id=value Search on Google Videos and download,you-get keywords Download a media to a specific location,you-get --output-dir path/to/directory --output-filename filename https://example.com/watch?v=value Download a media using a proxy,you-get --http-proxy proxy_server https://example.com/watch?v=value Start tracing a specific [p]rocess by its PID,strace -p pid Trace a [p]rocess and filt[e]r output by system call,"strace -p pid -e system_call,system_call2,..." "Count time, calls, and errors for each system call and report a summary on program exit",strace -p pid -c Show the [T]ime spent in every system call and specify the maximum string [s]ize to print,strace -p pid -T -s 32 Start tracing a program by executing it,strace program Start tracing file operations of a program,strace -e trace=file program "Start tracing network operations of a program as well as all its [f]orked and child processes, saving the [o]utput to a file",strace -f -e trace=network -o trace.txt program Start a REPL (interactive shell),sbcl Execute a Lisp script,sbcl --script path/to/script.lisp Extract elements from an XML document (producing XPATH expressions),xml elements path/to/input.xml|URI > path/to/elements.xpath Extract elements and their attributes from an XML document,xml elements -a path/to/input.xml|URI > path/to/elements.xpath Extract elements and their attributes and values from an XML document,xml elements -v path/to/input.xml|URI > path/to/elements.xpath Print sorted unique elements from an XML document to see its structure,xml elements -u path/to/input.xml|URI Print sorted unique elements from an XML document up to a depth of 3,xml elements -d3 path/to/input.xml|URI Display help,xml elements --help Copy an image from source to target,gcrane cp|copy source target "Set the maximum number of concurrent copies, defaults to 20",gcrane copy source target -j|--jobs nr_of_copies Whether to recurse through repositories,gcrane copy source target -r|--recursive Display help,gcrane copy -h|--help Create a cluster,k3d cluster create cluster_name Delete a cluster,k3d cluster delete cluster_name Create a new containerized k3s node,k3d node create node_name Import an image from Docker into a k3d cluster,k3d image import image_name --cluster cluster_name Create a new registry,k3d registry create registry_name Run a BATS test script and output results in the [t]AP (Test Anything Protocol) format,bats --tap path/to/test.bats [c]ount test cases of a test script without running any tests,bats --count path/to/test.bats Run BATS test cases [r]ecursively (files with a `.bats` extension),bats --recursive path/to/directory Output results in a specific [F]ormat,bats --formatter pretty|tap|tap13|junit path/to/test.bats Add [T]iming information to tests,bats --timing path/to/test.bats Run specific number of [j]obs in parallel (requires GNU `parallel` to be installed),bats --jobs number path/to/test.bats View documentation for the original command,tldr pacman remove Read the blob from a registry,crane blob blob_identifier Display help,crane blob -h|--help Generate an image using only `n_colors` or less colors as close as possible to the input image,pnmquant n_colors path/to/input.pnm > path/to/output.pnm Lint a file or directory recursively,flake8 path/to/file_or_directory Lint a file or directory recursively and show the line on which each error occurred,flake8 --show-source path/to/file_or_directory Lint a file or directory recursively and ignore a list of rules. (All available rules can be found at flake8rules.com),"flake8 --ignore rule1,rule2 path/to/file_or_directory" Lint a file or directory recursively but exclude files matching the given globs or substrings,"flake8 --exclude substring1,glob2 path/to/file_or_directory" Create an App Configuration,az appconfig create --name name --resource-group group_name --location location Delete a specific App Configuration,az appconfig delete --resource-group rg_name --name appconfig_name List all App Configurations under the current subscription,az appconfig list List all App Configurations under a specific resource group,az appconfig list --resource-group rg_name Show properties of an App Configuration,az appconfig show --name appconfig_name Update a specific App Configuration,az appconfig update --resource-group rg_name --name appconfig_name Create a binary from a source file,ocamlc path/to/source_file.ml Create a named binary from a source file,ocamlc -o path/to/binary path/to/source_file.ml Automatically generate a module signature (interface) file,ocamlc -i path/to/source_file.ml Start `waybar` with the default configuration and stylesheet,waybar Use a different configuration file,waybar -c|--config path/to/config.jsonc Use a different stylesheet file,waybar -s|--style path/to/stylesheet.css Set the logging level,waybar -l|--log-level trace|debug|info|warning|error|critical|off Create a new project using a template,pulumi new Create a new stack using an isolated deployment target,pulumi stack init "Configure variables (e.g. keys, regions, etc.) interactively",pulumi config Preview and deploy changes to a program and/or infrastructure,pulumi up Preview deployment changes without performing them (dry-run),pulumi preview Destroy a program and its infrastructure,pulumi destroy "Use Pulumi locally, independent of a Pulumi Cloud",pulumi login -l|--local Delete only the virtual machine configuration file,virsh undefine --domain vm_name Delete the configuration file and all associated storage volumes,virsh undefine --domain vm_name --remove-all-storage Delete the configuration file and the specified storage volumes using the target name or the source name (as obtained from the `virsh domblklist` command),"virsh undefine --domain vm_name --storage sda,path/to/source" Start a web server instance,hg serve Start a web server instance on the specified port,hg serve --port port Start a web server instance on the specified listening address,hg serve --address address Start a web server instance with a specific identifier,hg serve --name name Start a web server instance using the specified theme (see the templates directory),hg serve --style style Start a web server instance using the specified SSL certificate bundle,hg serve --certificate path/to/certificate Create a Cordova project,cordova create path/to/directory package project_name Display the current workspace status,cordova info Add a Cordova platform,cordova platform add platform Remove a Cordova platform,cordova platform remove platform Add a Cordova plugin,cordova plugin add pluginid Remove a Cordova plugin,cordova plugin remove pluginid Add a new `apt` repository,add-apt-repository repository_spec Remove an `apt` repository,add-apt-repository --remove repository_spec Update the package cache after adding a repository,add-apt-repository --update repository_spec Allow source packages to be downloaded from the repository,add-apt-repository --enable-source repository_spec Check the spelling of a file,hunspell path/to/file Check the spelling of a file with the en_US dictionary,hunspell -d en_US path/to/file List misspelled words in a file,hunspell -l path/to/file Build the package in the current directory,sbuild Build the given package,sbuild package Build for a certain distribution,sbuild --dist distribution "Build using custom dependencies (if a directory is passed, all files ending with `.deb` are used)",sbuild --extra-package path/to/file_or_directory Run a shell in case of build failure to further investigate,sbuild --build-failed-commands=%SBUILD_SHELL Cross build for a certain architecture,sbuild --host architecture Build for the given native architecture,sbuild --arch architecture "Run a project if the current directory contains a `project.godot` file, otherwise open the project manager",godot Edit a project (the current directory must contain a `project.godot` file),godot -e Open the project manager even if the current directory contains a `project.godot` file,godot -p Export a project for a given export preset (the preset must be defined in the project),godot --export preset output_path Execute a standalone GDScript file (the script must inherit from `SceneTree` or `MainLoop`),godot -s script.gd Create a backup (user will be prompted for a password),mysqldump --user user --password database_name --result-file=path/to/file.sql Backup a specific table redirecting the output to a file (user will be prompted for a password),mysqldump --user user --password database_name table_name > path/to/file.sql Backup all databases redirecting the output to a file (user will be prompted for a password),mysqldump --user user --password --all-databases > path/to/file.sql "Backup all databases from a remote host, redirecting the output to a file (user will be prompted for a password)",mysqldump --host=ip_or_hostname --user user --password --all-databases > path/to/file.sql Connect to a host,evil-winrm --ip ip --user user --password password "Connect to a host, passing the password hash",evil-winrm --ip ip --user user --hash nt_hash "Connect to a host, specifying directories for scripts and executables",evil-winrm --ip ip --user user --password password --scripts path/to/scripts --executables path/to/executables "Connect to a host, using SSL",evil-winrm --ip ip --user user --password password --ssl --pub-key path/to/pubkey --priv-key path/to/privkey Upload a file to the host,PS > upload path/to/local/file path/to/remote/file List all loaded PowerShell functions,PS > menu Load a PowerShell script from the `--scripts` directory,PS > script.ps1 Invoke a binary on the host from the `--executables` directory,PS > Invoke-Binary binary.exe View documentation for the original command,tldr clang++ Convert file to PDF (the output format is determined by file extension),pandoc path/to/input.md -o|--output path/to/output.pdf "Convert to a standalone file with the appropriate headers/footers (for LaTeX, HTML, etc.)",pandoc path/to/input.md -s|--standalone -o|--output path/to/output.html Manually specify format detection and conversion (overriding automatic format detection using filename extension or when filename extension is missing altogether),pandoc -f|-r|--from|--read docx|... path/to/input -t|-w|--to|--write pdf|... -o|--output path/to/output List all supported input formats,pandoc --list-input-formats List all supported output formats,pandoc --list-output-formats Build and serve a site,hugo server Build and serve a site on a specified port number,hugo server --port port_number "Build and serve a site while minifying supported output formats (HTML, XML, etc.)",hugo server --minify Display help,hugo server --help Reboot a virtual machine,qm reboot vm_id Reboot a virtual machine after wait for at most 10 seconds,qm reboot --timeout 10 vm_id Automatically compile and install a generic kernel,sudo genkernel all Build and install the bzImage|initramfs|kernel|ramdisk only,sudo genkernel bzImage|initramfs|kernel|ramdisk Apply changes to the kernel configuration before compiling and installing,sudo genkernel --menuconfig all Generate a kernel with a custom name,sudo genkernel --kernname=custom_name all Use a kernel source outside the default directory `/usr/src/linux`,sudo genkernel --kerneldir=path/to/directory all Split a multi-image Netpbm file into multiple single-image Netpbm files,pamsplit path/to/image.pam Specify a pattern for naming output files,pamsplit path/to/image.pam file_%d.pam Convert an SLD file to a PPM image,sldtoppm path/to/input.sld > path/to/output.ppm Compensate for non-square pixels by scaling the width of the image,sldtoppm -adjust path/to/input.sld > path/to/output.ppm List startable tasks,todo list --startable Add a new task to the work list,todo new thing_to_do --list work Add a location to a task with a given ID,todo edit --location location_name task_id Show details about a task,todo show task_id Mark tasks with the specified IDs as completed,todo done task_id1 task_id2 ... Delete a task,todo delete task_id Delete done tasks and reset the IDs of the remaining tasks,todo flush Compile a TypeScript file `foobar.ts` into a JavaScript file `foobar.js`,tsc foobar.ts Compile a TypeScript file into JavaScript using a specific target syntax (default is `ES3`),tsc --target ES5|ES2015|ES2016|ES2017|ES2018|ESNEXT foobar.ts Compile a TypeScript file into a JavaScript file with a custom name,tsc --outFile output.js input.ts Compile all `.ts` files of a TypeScript project defined in a `tsconfig.json` file,tsc --build tsconfig.json Run the compiler using command-line options and arguments fetched from a text file,tsc @args.txt "Type-check multiple JavaScript files, and output only the errors",tsc --allowJs --checkJs --noEmit src/**/*.js "Run the compiler in watch mode, which automatically recompiles code when it changes",tsc --watch Install a helm chart,helm install name repository_name/chart_name Install a helm chart from an unpacked chart directory,helm install name path/to/source_directory Install a helm chart from a URL,helm install package_name https://example.com/charts/packagename-1.2.3.tgz Install a helm chart and generate a name,helm install repository_name/chart_name --generate-name Perform a dry run,helm install name repository_name/chart_name --dry-run Install a helm chart with custom values,"helm install name repository_name/chart_name --set parameter1=value1,parameter2=value2" Install a helm chart passing a custom values file,helm install name repository_name/chart_name --values path/to/values.yaml Bundle all plugins for static loading,antibody bundle < ~/.zsh_plugins.txt > ~/.zsh_plugins.sh Update all bundles,antibody update List all installed plugins,antibody list View documentation for installing and updating packages,tldr xbps-install View documentation for removing packages,tldr xbps-remove View documentation for querying for package and repository information,tldr xbps-query Count the number of packets sent from each source address appearing in a PCAP file,ipaggcreate --src path/to/file.pcap Group and count packets read from a network interface by IP packet length,ipaggcreate --interface eth0 --length Count the number of bytes sent between each address pair appearing in a PCAP file,ipaggcreate --address-pairs --bytes path/to/file.pcap Open the first search result in the default PDF viewer,texdoc search List the best search results,texdoc --list search Open full documentation of texdoc,texdoc texdoc Serve HTTP requests to a specific port instead of port `9090`,cockpit-tls --port port Display help,cockpit-tls --help "Merge all commits not present on the target branch from the source branch to target branch, and delete the source branch",git graft source_branch target_branch List secret keys for the current repository,gh secret list List secret keys for a specific organization,gh secret list --org organization List secret keys for a specific repository,gh secret list --repo owner/repository Set a secret for the current repository (user will be prompted for the value),gh secret set name Set a secret from a file for the current repository,gh secret set name < path/to/file Set an organization secret for specific repositories,"gh secret set name --org organization --repos repository1,repository2" Remove a secret for the current repository,gh secret remove name Remove a secret for a specific organization,gh secret remove name --org organization Show DNS settings,resolvectl status Resolve the IPv4 and IPv6 addresses for one or more domains,resolvectl query domain1 domain2 ... Retrieve the domain of a specified IP address,resolvectl query ip_address Flush all local DNS caches,resolvectl flush-caches "Display DNS statistics (transactions, cache, and DNSSEC verdicts)",resolvectl statistics Retrieve an MX record of a domain,resolvectl --legend=no --type=MX query domain "Resolve an SRV record, for example _xmpp-server._tcp gmail.com",resolvectl service _service._protocol name Retrieve a TLS key,resolvectl tlsa tcp domain:443 List imported keys,gpg2 --list-keys "Encrypt a specified file for a specified recipient, writing the output to a new file with `.gpg` appended",gpg2 --encrypt --recipient alice@example.com path/to/doc.txt "Encrypt a specified file with only a passphrase, writing the output to a new file with `.gpg` appended",gpg2 --symmetric path/to/doc.txt "Decrypt a specified file, writing the result to `stdout`",gpg2 --decrypt path/to/doc.txt.gpg Import a public key,gpg2 --import path/to/public_key.gpg Export the public key of a specified email address to `stdout`,gpg2 --export --armor alice@example.com Export the private key with a specified email address to `stdout`,gpg2 --export-secret-keys --armor alice@example.com Display the whole configuration of the specified interface,ifdata -p eth0 Indicate the [e]xistence of the specified interface via the exit code,ifdata -e eth0 Display the IPv4 [a]dress and the [n]etmask of the specified interface,ifdata -pa -pn eth0 "Display the [N]etwork adress, the [b]roadcast adress, and the MTU of the specified interface",ifdata -pN -pb -pm eth0 Display help,ifdata List all tests performed,ooniprobe list Show information about a specific test,ooniprobe list 7 Run all available tests,ooniprobe run all Perform a specific test,ooniprobe run performance Check the availability of a specific website,ooniprobe run websites --input https://ooni.org/ Check the availability of all websites listed in a file,ooniprobe run websites --input-file path/to/my-websites.txt Display detailed information about a test in JSON format,ooniprobe show 9 "Start recording in file named ""typescript""",script Stop recording,exit Start recording in a given file,script logfile.log Append to an existing file,script -a logfile.log Execute quietly without start and done messages,script -q logfile.log Get the manifest,crane manifest image_name Display help,crane manifest -h|--help List installed libraries,pio lib list List built-in libraries based on installed development platforms and their frameworks,pio lib builtin Search for existing libraries,pio lib search keyword Show details about a library,pio lib show library Install a library,pio lib install library Update installed libraries,pio lib update Uninstall a library,pio lib uninstall library Show PlatformIO library registry statistics,pio lib stats List current Volumes,linode-cli volumes list Create a new Volume and attach it to a specific Linode,linode-cli volumes create --label volume_label --size size_in_GB --linode-id linode_id Attach a Volume to a specific Linode,linode-cli volumes attach volume_id --linode-id linode_id Detach a Volume from a Linode,linode-cli volumes detach volume_id Resize a Volume (Note: size can only be increased),linode-cli volumes resize volume_id --size new_size_in_GB Delete a Volume,linode-cli volumes delete volume_id Enable telemetry uploading,gotelemetry on Disable telemetry uploading,gotelemetry off Run a Web Viewer for local telemetry data,gotelemetry view Print the current telemetry environment,gotelemetry env Display help for a specific subcommand,gotelemetry help subcommand Flush all pending write operations on all disks,sync Flush all pending write operations on a single file to disk,sync path/to/file List all commits on the current branch,git rev-list HEAD Print the latest commit that changed (add/edit/remove) a specific file on the current branch,git rev-list -n|--max-count 1 HEAD -- path/to/file "List commits more recent than a specific date, on a specific branch","git rev-list --since ""2019-12-01 00:00:00"" branch_name" List all merge commits on a specific commit,git rev-list --merges commit Print the number of commits since a specific tag,git rev-list tag_name..HEAD --count Build a tree object and verify that each tree entry’s hash identifies an existing object,git mktree Allow missing objects,git mktree --missing Read the NUL ([z]ero character) terminated output of the tree object (`ls-tree -z`),git mktree -z Allow the creation of multiple tree objects,git mktree --batch Sort and build a tree from `stdin` (non-recursive `git ls-tree` output format is required),git mktree < path/to/tree.txt Log in to the balenaCloud account,balena login Create a balenaCloud or openBalena application,balena app create app_name List all balenaCloud or openBalena applications within the account,balena apps List all devices associated with the balenaCloud or openBalena account,balena devices Flash a balenaOS image to a local drive,balena local flash path/to/balenaos.img --drive drive_location Display bpftrace version,bpftrace -V List all available probes,sudo bpftrace -l Run a one-liner program (e.g. syscall count by program),sudo bpftrace -e 'tracepoint:raw_syscalls:sys_enter { @[comm] = count(); }' Run a program from a file,sudo bpftrace path/to/file Trace a program by PID,sudo bpftrace -e 'tracepoint:raw_syscalls:sys_enter /pid == 123/ { @[comm] = count(); }' Do a dry run and display the output in eBPF format,sudo bpftrace -d -e 'one_line_program' Display basic information about the current app and available commands,cake List available routes,cake routes Clear configuration caches,cake cache clear_all Build the metadata cache,cake schema_cache build --connection connection Clear the metadata cache,cake schema_cache clear Clear a single cache table,cake schema_cache clear table_name Start a development web server (defaults to port 8765),cake server Start a REPL (interactive shell),cake console Add a to-do to a specific project with a given context,"topydo add ""todo_message +project_name @context_name""" Add a to-do with a due date of tomorrow with a priority of `A`,"topydo add ""(A) todo _message due:1d""" Add a to-do with a due date of Friday,"topydo add ""todo_message due:fri""" Add a non-strict repeating to-do (next due = now + rec),"topydo add ""water flowers due:mon rec:1w""" Add a strict repeating to-do (next due = current due + rec),"topydo add ""todo_message due:2020-01-01 rec:+1m""" Revert the last `topydo` command executed,topydo revert Compress a PNG file,pngcrush in.png out.png Compress all PNGs and output them to the specified directory,pngcrush -d path/to/output *.png Compress PNG file with all 114 available algorithms and pick the best result,pngcrush -rem allb -brute -reduce in.png out.png Print the contents of the file and display a progress bar,pv path/to/file Measure the speed and amount of data flow between pipes (`--size` is optional),command1 | pv --size expected_amount_of_data_for_eta | command2 "Filter a file, see both progress and amount of output data",pv -cN in big_text_file | grep pattern | pv -cN out > filtered_file Attach to an already running process and see its file reading progress,pv -d PID "Read an erroneous file, skip errors as `dd conv=sync,noerror` would",pv -EE path/to/faulty_media > image.img "Stop reading after reading specified amount of data, rate limit to 1K/s",pv -L 1K --stop-at --size maximum_file_size_to_be_read View documentation for running `nmcli` as a NetworkManager secret/polkit agent,tldr nmcli agent View documentation for managing network connections,tldr nmcli connection View documentation for managing network interfaces and establishing new Wi-Fi connections,tldr nmcli device View documentation for managing general settings of NetworkManager,tldr nmcli general View documentation for NetworkManager's activity monitor,tldr nmcli monitor View documentation for enabling/disabling and checking the status of networking,tldr nmcli networking View documentation for managing radio switches,tldr nmcli radio "Start calculating, defaulting to all CPU cores and 1 second refresh interval",sudo cpufreq-aperf Start calculating for CPU 1 only,sudo cpufreq-aperf -c 1 Start calculating with a 3 second refresh interval for all CPU cores,sudo cpufreq-aperf -i 3 Calculate only once,sudo cpufreq-aperf -o Search for packages that contain a file,urpmf filename Search for packages that contain both a keyword [a]nd another in their summaries,urpmf --summary keyword1 -a keyword2 Search for packages that contain a keyword [o]r another in their descriptions,urpmf --description keyword1 -o keyword2 "Search for packages that do not contain a keyword in their name ignoring case distinction using ""|"" as the [F]ield separator ("":"" by default)",urpmf --description ! keyword -F'|' Merge the merge request associated with the current branch interactively,glab mr merge "Merge the specified merge request, interactively",glab mr merge mr_number "Merge the merge request, removing the branch on both the local and the remote",glab mr merge --remove-source-branch Squash the current merge request into one commit with the message body and merge,"glab mr merge --squash --message=""commit_message_body""" Display help,glab mr merge --help Convert a PBM image into a GEM .img file,pbmtogem path/to/file.pbm > path/to/file.img Suppress all informational messages,pbmtogem -quiet Display version,pbmtogem -version Connect to the main Ethereum network and automatically download the full node,geth Connect to the Ropsten test network,geth --testnet Create a new account,geth account new Enable mining,geth --mine "Install a project's dependencies, listed in its bower.json",bower install Install one or more packages to the bower_components directory,bower install package package Uninstall packages locally from the bower_components directory,bower uninstall package package List local packages and possible updates,bower list Create a `bower.json` file for your package,bower init "Install a specific dependency version, and add it to `bower.json`",bower install local_name=package#version --save Display help for a specific command,bower help command Execute a command on a remote [h]ost,rexec -h=remote_host ls -l Specify the remote [u]sername on a remote [h]ost,rexec -username=username -h=remote_host ps aux Redirect `stdin` from `/dev/null` on a remote [h]ost,rexec --no-err -h=remote_host ls -l Specify the remote [P]ort on a remote [h]ost,rexec -P=1234 -h=remote_host ls -l Create new files and add them to the index,git touch path/to/file1 path/to/file2 ... Display information about logical volumes,lvs Display all logical volumes,lvs -a Change default display to show more details,lvs -v Display only specific fields,"lvs -o field_name_1,field_name_2" Append field to default display,lvs -o +field_name Suppress heading line,lvs --noheadings Use a separator to separate fields,lvs --separator = "Repair a PCAP/PCapNG file (Note: for PCAP files, only the first 262144 bytes of each packet are scanned)",pcapfix path/to/file.pcapng Repair an entire PCAP file,pcapfix --deep-scan path/to/file.pcap Repair a PCAP/PcapNG file and write the repaired file to the specified location,pcapfix --outfile path/to/repaired.pcap path/to/file.pcap "Treat the specified file as a PcapNG file, ignoring automatic recognition",pcapfix --pcapng path/to/file.pcapng Repair a file and show the process in detail,pcapfix --verbose path/to/file.pcap Generate a bonsai in live mode,cbonsai -l Generate a bonsai in infinite mode,cbonsai -i Append a message to the bonsai,"cbonsai -m ""message""" Display extra information about the bonsai,cbonsai -v Display help,cbonsai -h Rename a container,docker rename container new_name Display help,docker rename --help Convert a PPM file to an HP PaintJet file,ppmtopj path/to/input.ppm > path/to/output.pj Move the image in the x and y direction,ppmtopj -xpos dx -ypos dy path/to/input.ppm > path/to/output.pj Explicitly specify a gamma value,ppmtopj -gamma gamma path/to/input.ppm > path/to/output.pj Verify a signed file,gpgv path/to/file Verify a signed file using a detached signature,gpgv path/to/signature path/to/file Add a file to the list of keyrings (a single exported key also counts as a keyring),gpgv --keyring ./alice.keyring path/to/signature path/to/file List all running containers,docker compose ps Create and start all containers in the background using a `docker-compose.yml` file from the current directory,docker compose up --detach "Start all containers, rebuild if necessary",docker compose up --build Start all containers by specifying a project name and using an alternate compose file,docker compose -p project_name --file path/to/file up Stop all running containers,docker compose stop "Stop and remove all containers, networks, images, and volumes",docker compose down --rmi all --volumes Follow logs for all containers,docker compose logs --follow Follow logs for a specific container,docker compose logs --follow container_name List the repositories in a registry,crane catalog registry_address Print the full image reference,crane catalog registry_address --full-ref Display help,crane catalog -h|--help Create a tape file (add commands to the tape file using an editor),vhs new path/to/file.tape "Record inputs to a tape file (once done, exit the shell to create the tape)",vhs record > path/to/file.tape Record inputs to a tape file using a specific shell,vhs record --shell shell > path/to/file.tape Validate the syntax of a tape file,vhs validate path/to/file.tape Create a gif from a tape file,vhs < path/to/file.tape Publish a gif to and get a shareable URL,vhs publish path/to/file.gif List all supported raster formats,gdalinfo --formats List information about a specific raster dataset,gdalinfo path/to/input.tif List information about a specific raster dataset in JSON format,gdalinfo -json path/to/input.tif Show histogram values of a specific raster dataset,gdalinfo -hist path/to/input.tif List information about a Web Map Service (WMS),gdalinfo WMS:https://services.meggsimum.de/geoserver/ows List information about a specific dataset of a Web Map Service (WMS),gdalinfo WMS:https://services.meggsimum.de/geoserver/ows -sd 4 Search for lines matching the list of search strings separated by new lines in a compressed file (case-sensitive),"bzfgrep ""search_string"" path/to/file" Search for lines matching the list of search strings separated by new lines in a compressed file (case-insensitive),"bzfgrep --ignore-case ""search_string"" path/to/file" Search for lines that do not match the list of search strings separated by new lines in a compressed file,"bzfgrep --invert-match ""search_string"" path/to/file" Print file name and line number for each match,"bzfgrep --with-filename --line-number ""search_string"" path/to/file" "Search for lines matching a pattern, printing only the matched text","bzfgrep --only-matching ""search_string"" path/to/file" Recursively search files in a bzip2 compressed tar archive for the given list of strings,"bzfgrep --recursive ""search_string"" path/to/file" Display statistics every 5 seconds,sudo turbostat Display statistics every specified amount of seconds,sudo turbostat -i n_seconds Do not decode and print the system configuration header information,sudo turbostat --quiet "Display useful information about CPU every 1 second, without header information","sudo turbostat --quiet --interval 1 --cpu 0-CPU_thread_count --show ""PkgWatt"",""Busy%"",""Core"",""CoreTmp"",""Thermal""" Display help,turbostat --help Format all source files,cargo fmt Check for formatting errors without writing to the files,cargo fmt --check Pass arguments to each `rustfmt` call,cargo fmt -- rustfmt_args List all removable kernel versions (or those matching `version` if the argument is specified),vkpurge list version Remove all unused kernels,vkpurge rm all Remove kernel versions matching `version`,vkpurge rm version Notify the operating system kernel of partition table changes,sudo partprobe Notify the kernel of partition table changes and show a summary of devices and their partitions,sudo partprobe --summary Show a summary of devices and their partitions but don't notify the kernel,sudo partprobe --summary --dry-run Convert the specified PAM image to PNG,pamtopng path/to/image.pam > path/to/output.png Mark the specified color as transparent in the output image,pamtopng -transparent color path/to/image.pam > path/to/output.png Include the text in the specified file as tEXt chunks in the output,pamtopng -text path/to/file.txt path/to/image.pam > path/to/output.png Cause the output file to be interlaced in Adam7 format,pamtopng -interlace path/to/image.pam > path/to/output.png Build a project with default build file `build.xml`,ant Build a project using build [f]ile other than `build.xml`,ant -f buildfile.xml Print information on possible targets for this project,ant -p Print debugging information,ant -d Execute all targets that do not depend on fail target(s),ant -k "Create a new environment, installing named packages into it",conda create --name environment_name python=3.9 matplotlib List all environments,conda info --envs Load an environment,conda activate environment_name Unload an environment,conda deactivate Delete an environment (remove all packages),conda remove --name environment_name --all Install packages into the current environment,conda install python=3.4 numpy List currently installed packages in current environment,conda list Delete unused packages and caches,conda clean --all Repeatedly run a command and show the result,watch command Re-run a command every 60 seconds,watch -n 60 command "Monitor the contents of a directory, highlighting differences as they appear",watch -d ls -l Repeatedly run a pipeline and show the result,watch 'command_1 | command_2 | command_3' Search for packages from the official repositories and AUR,aura --aursync --both --search keyword|regular_expression Install a package from the AUR,aura --aursync package Update all AUR packages in a verbose mode and remove all make dependencies,aura --aursync --diff --sysupgrade --delmakedeps --unsuppress Install a package from the official repositories,aura --sync package Synchronize and update all packages from the official repositories,aura --sync --refresh --sysupgrade Downgrade a package using the package cache,aura --downgrade package Remove a package and its dependencies,aura --remove --recursive --unneeded package Remove orphan packages (installed as dependencies but not required by any package),aura --orphans --abandon Run a script,Rscript path/to/file.R Run a script in vanilla mode (i.e. a blank session that doesn't save the workspace at the end),Rscript --vanilla path/to/file.R Execute one or more R expressions,Rscript -e expression1 -e expression2 Display R version,Rscript --version "Run gource in a directory (if it isn't the repository's root directory, the root is sought up from there)",gource path/to/repository "Run gource in the current directory, with a custom output resolution",gource -widthxheight Specify the timescale for the animation,gource -c time_scale_multiplier "Specify how long each day should be in the animation (this combines with -c, if provided)",gource -s seconds Use fullscreen mode and a custom background color,gource -f -b hex_color_code Specify the animation title,gource --title title Open the current directory (or specify one as the first argument),nnn Start in detailed mode,nnn -d Show hidden files,nnn -H Open an existing bookmark (defined in the `NNN_BMS` environment variable),nnn -b bookmark_name Sort files on [a]pparent disk usage / [d]isk usage / [e]xtension / [r]everse / [s]ize / [t]ime / [v]ersion,nnn -T a|d|e|r|s|t|v "Open a file you have selected. Select the file then press `o`, and type a program to open the file in",nnn -o Substitute with the previous command and run it with `sudo`,sudo !! Substitute with a command based on its line number found with `history`,!number Substitute with a command that was used a specified number of lines back,!-number Substitute with the most recent command that starts with a string,!string Substitute with the arguments of the latest command,command !* Substitute with the last argument of the latest command,command !$ Substitute with the last command but without the last argument,!:- Print last command that starts with a string without executing it,!string:p "Format output for a PostScript printer, saving the output to a file",troff path/to/input.roff | grops > path/to/output.ps "Format output for a PostScript printer using the [me] macro package, saving the output to a file",troff -me path/to/input.roff | grops > path/to/output.ps Format output as [a]SCII text using the [man] macro package,troff -T ascii -man path/to/input.roff | grotty "Format output as a [pdf] file, saving the output to a file",troff -T pdf path/to/input.roff | gropdf > path/to/output.pdf Edit the output of `command1` before piping it into `command2`,command1 | vipe | command2 Buffer the output of `command1` in a temporary file with the specified file extension in order to aid syntax highlighting,command1 | vipe --suffix json | command2 Use the specified text editor,command1 | EDITOR=vim vipe | command2 Start Shotcut,shotcut Open audio/video files,shotcut path/to/file1 path/to/file2 ... Start with a specific audio driver,"shotcut --SDL_AUDIODRIVER ""pulseaudio""" Start in fullscreen,shotcut --fullscreen Start with GPU processing,shotcut --gpu Run Python web app,uvicorn import.path:app_object Listen on port 8080 on localhost,uvicorn --host localhost --port 8080 import.path:app_object Turn on live reload,uvicorn --reload import.path:app_object Use 4 worker processes for handling requests,uvicorn --workers 4 import.path:app_object Run app over HTTPS,uvicorn --ssl-certfile cert.pem --ssl-keyfile key.pem import.path:app_object List commands history with command IDs,aws history list Display events related to a specific command given a command ID,aws history show command_id "Align sequences to user provided [r]eference, [o]utputting the alignment to a file",nextclade run path/to/sequences.fa -r path/to/reference.fa -o path/to/alignment.fa "Create a [t]SV report, auto-downloading the latest [d]ataset",nextclade run path/to/fasta -d dataset_name -t path/to/report.tsv List all available datasets,nextclade dataset list Download the latest SARS-CoV-2 dataset,nextclade dataset get --name sars-cov-2 --output-dir path/to/directory "Use a downloaded [D]ataset, producing all [O]utputs",nextclade run -D path/to/dataset_dir -O path/to/output_dir path/to/sequences.fasta Run on multiple files,nextclade run -d dataset_name -t path/to/output_tsv -- path/to/input_fasta_1 path/to/input_fasta_2 ... Try reverse complement if sequence does not align,nextclade run --retry-reverse-complement -d dataset_name -t path/to/output_tsv path/to/input_fasta Print the contents of a file to `stdout`,cat path/to/file Concatenate several files into an output file,cat path/to/file1 path/to/file2 ... > path/to/output_file Append several files to an output file,cat path/to/file1 path/to/file2 ... >> path/to/output_file Copy the contents of a file into an output file without buffering,cat -u /dev/tty12 > /dev/tty13 Write `stdin` to a file,cat - > path/to/file Set the default printer,lpoptions -d printer[/instance] List printer-specific options of a specific printer,lpoptions -d printer -l Set a new option on a specific printer,lpoptions -d printer -o option Remove the options of a specific printer,lpoptions -d printer -x Create TSLint config,tslint --init Lint on a given set of files,tslint path/to/file1.js path/to/file2.js ... Fix lint issues,tslint --fix Lint with the configuration file in the project root,tslint --project path/to/project_root View information about an MP3 file,eyeD3 filename.mp3 Set the title of an MP3 file,"eyeD3 --title ""A Title"" filename.mp3" Set the album of all the MP3 files in a directory,"eyeD3 --album ""Album Name"" *.mp3" Set the front cover art for an MP3 file,eyeD3 --add-image front_cover.jpeg:FRONT_COVER: filename.mp3 Send a file or directories,qrcp send path/to/file_or_directory path/to/file_directory ... Receive files,qrcp receive Compress content before transferring,qrcp send --zip path/to/file_or_directory Use a specific [p]ort,qrcp send|receive --port port_number Use a specific network [i]nterface,qrcp send|receive --interface interface Keep the server alive,qrcp send|receive --keep-alive [R]emove a package and its dependencies recur[s]ively,sudo pacman -Rs package [R]emove a package and its dependencies. Also do [n]ot save backups of configuration files,sudo pacman -Rsn package [R]emove a package without prompting,sudo pacman -R --noconfirm package [R]emove orphan packages (installed as [d]ependencies but no[t] required by any package),sudo pacman -Rsn $(pacman -Qdtq) [R]emove a package and [c]ascade that to all packages that depend on it,sudo pacman -Rc package List and [p]rint packages that would be affected (does not [R]emove any packages),pacman -Rp package Display [h]elp,pacman -Rh Calculate the SHA1 checksum for one or more files,sha1sum path/to/file1 path/to/file2 ... Calculate and save the list of SHA1 checksums to a file,sha1sum path/to/file1 path/to/file2 ... > path/to/file.sha1 Calculate a SHA1 checksum from `stdin`,command | sha1sum Read a file of SHA1 sums and filenames and verify all files have matching checksums,sha1sum --check path/to/file.sha1 Only show a message for missing files or when verification fails,sha1sum --check --quiet path/to/file.sha1 "Only show a message when verification fails, ignoring missing files",sha1sum --ignore-missing --check --quiet path/to/file.sha1 Start a new named session,zellij --session name List existing sessions,zellij list-sessions Attach to the most recently used session,zellij attach Open a new pane (inside a zellij session), + N Detach from the current session (inside a zellij session)," + O, D" Convert a PBM image to a X11 XBM file,pbmtoxbm path/to/input_file.pbm > path/to/output_file.xbm Explicitly specify whether an X11 or X10 bitmap should be generated,pbmtoxbm -x11|x10 path/to/input_file.pbm > path/to/output_file.xbm Search for videos on YouTube with thumbnail previews,ytfzf --show-thumbnails search_pattern Play only the audio of the first item in a loop,ytfzf --audio-only --auto-select --loop search_pattern Download a video from the history,ytfzf --download --choose-from-history Play all the music found in a search,ytfzf --audio-only --select-all search_pattern See the trending videos in an external menu,ytfzf --trending --ext-menu search_pattern Search on PeerTube instead of YouTube,ytfzf --peertube search_pattern Log in with interactive prompt,gh auth login Log in with a token from `stdin` (created in ),echo your_token | gh auth login --with-token Check if you are logged in,gh auth status Log out,gh auth logout Log in with a specific GitHub Enterprise Server,gh auth login --hostname github.example.com Refresh the session to ensure authentication credentials have the correct minimum scopes (removes additional scopes requested previously),gh auth refresh Expand the permission scopes,"gh auth refresh --scopes repo,admin:repo_hook,admin:org,admin:public_key,admin:org_hook,..." "Ping a specified host using ICMP if the user is allowed to, otherwise using TCP",nping example.com Ping a specified host using ICMP assuming that the user is allowed to do so,nping --icmp --privileged example.com Ping a specified host using UDP,nping --udp example.com Ping a specified host on a given port using TCP,nping --tcp --dest-port 443 example.com Ping a certain number of times,nping --count 10 example.com Wait a certain amount of time between each ping,nping --delay 5s example.com Send the request over a specified interface,nping --interface eth0 example.com Ping an IP range,nping 10.0.0.1-10 Show the list of apps,rofi -show drun Show the list of all commands,rofi -show run Switch between windows,rofi -show window Pipe a list of items to `stdin` and print the selected item to `stdout`,"printf ""Choice1\nChoice2\nChoice3"" | rofi -dmenu" Initialize a configuration file,atoum --init Run all tests,atoum Run tests using the specified [c]onfiguration file,atoum -c path/to/file Run a specific test [f]ile,atoum -f path/to/file Run a specific [d]irectory of tests,atoum -d path/to/directory Run all tests under a specific name[s]pace,atoum -ns namespace Run all tests with a specific [t]ag,atoum -t tag Load a custom bootstrap file before running tests,atoum --bootstrap-file path/to/file Display the name of the root window,xprop -root WM_NAME Display the window manager hints for a window,"xprop -name ""window_name"" WM_HINTS" Display the point size of a font,"xprop -font ""font_name"" POINT_SIZE" Display all the properties of the window with the ID 0x200007,xprop -id 0x200007 Open a new window showing the current directory,thunar Open the bulk rename utility,thunar --bulk-rename Close all open thunar windows,thunar --quit Initialize a new local repository,hub init Send a file to a specific node,sudo tailscale file cp path/to/file hostname|ip: Store files that were sent to the current node into a specific directory,sudo tailscale file get path/to/directory Disown the current job,disown Disown a specific job,disown %job_number Disown all jobs,disown -a "Keep job (do not disown it), but mark it so that no future SIGHUP is received on shell exit",disown -h %job_number Build and sign the iOS application in the current directory,fastlane run build_app Run `pod install` for the project in the current directory,fastlane run cocoapods Delete the derived data from Xcode,fastlane run clear_derived_data Remove the cache for pods,fastlane run clean_cocoapods_cache View the current security context of a file or directory,ls -dlZ path/to/file_or_directory Restore the security context of a file or directory,restorecon path/to/file_or_directory "Restore the security context of a directory recursively, and show all changed labels",restorecon -R -v path/to/directory "Restore the security context of a directory recursively, using all available threads, and show progress",restorecon -R -T 0 -p path/to/directory Preview the label changes that would happen without applying them,restorecon -R -n -v path/to/directory Start the synchronization process,isisdl Limit the download rate to 20 MiB/s and download with 5 threads,isisdl --download-rate 20 --max-num-threads 5 Run the initialization configuration wizard,isisdl --init Run the additional configuration wizard,isisdl --config Initiate a full synchronization of the database and compute the checksum of every file,isisdl --sync Start ffmpeg to compress downloaded videos,isisdl --compress Add (and enable) a repository from a URL,dnf config-manager --add-repo=repository_url Print current configuration values,dnf config-manager --dump Enable a specific repository,dnf config-manager --set-enabled repository_id Disable specified repositories,dnf config-manager --set-disabled repository_id1 repository_id2 ... Set a configuration option for a repository,dnf config-manager --setopt=option=value Display help,dnf config-manager --help-cmd Rebuild with `make` if any file in any subdirectory changes,ag -l | entr make Rebuild and test with `make` if any `.c` source files in the current directory change,ls *.c | entr 'make && make test' Send a `SIGTERM` to any previously spawned ruby subprocesses before executing `ruby main.rb`,ls *.rb | entr -r ruby main.rb Run a command with the changed file (`/_`) as an argument,ls *.sql | entr psql -f /_ [c]lear the screen and run a query after the SQL script is updated,echo my.sql | entr -cp psql -f /_ "Rebuild the project if source files change, limiting output to the first few lines",find src/ | entr -s 'make | sed 10q' Launch and auto-[r]eload a Node.js server,ls *.js | entr -r node app.js Extract pages from PDF file and make a separate PDF file for each page,pdfseparate path/to/source_filename.pdf path/to/destination_filename-%d.pdf Specify the first/start page for extraction,pdfseparate -f 3 path/to/source_filename.pdf path/to/destination_filename-%d.pdf Specify the last page for extraction,pdfseparate -l 10 path/to/source_filename.pdf path/to/destination_filename-%d.pdf Start the typing test with the default wordlist,toipe Use a specific wordlist,toipe -w|--wordlist wordlist_name Use a custom wordlist,toipe -f|--file path/to/file Specify the number of words on each test,toipe -n|--num number_of_words Include punctuation,toipe -p|--punctuation Open a given serial port,sudo minicom --device /dev/ttyUSB0 Open a given serial port with a given baud rate,sudo minicom --device /dev/ttyUSB0 --baudrate 115200 Enter the configuration menu before communicating with a given serial port,sudo minicom --device /dev/ttyUSB0 --setup Start a HTTP server for the current directory,updog Start a HTTP server for a specified directory,updog --directory /path/to/directory Start a HTTP server on a specified port,updog --port port "Start a HTTP server with a password (To log in, leave the username blank and enter the password in the password field)",updog --password password Enable transport encryption via SSL,updog --ssl Optimize a GIF as a new file,gifsicle path/to/input.gif --optimize=3 -o path/to/output.gif Use [b]atch mode (modify each given file in place) and unoptimize a GIF,gifsicle -b path/to/input.gif --unoptimize Extract a frame from a GIF,gifsicle path/to/input.gif '#0' > path/to/first_frame.gif Make a GIF animation from selected GIFs,gifsicle *.gif --delay=10 --loop > path/to/output.gif Reduce file size using lossy compression,gifsicle -b path/to/input.gif --optimize=3 --lossy=100 --colors=16 --dither Delete the first 10 frames and all frames after frame 20 from a GIF,gifsicle -b path/to/input.gif --delete '#0-9' '#20-' "Modify all frames by cropping them to a rectangle, changing their scale, flipping them, and rotating them","gifsicle -b --crop starting_x,starting_y+rect_widthxrect_height --scale 0.25 --flip-horizontal --rotate-90|180|270 path/to/input.gif" View the documentation for Microsoft Edge for Windows,tldr -p windows msedge View the documentation for Microsoft Edge for other platforms,tldr -p common microsoft-edge Display the JSON object with full path to the `Cargo.toml` manifest,cargo locate-project Display the project path in the specified format,cargo locate-project --message-format plain|json Display the `Cargo.toml` manifest located at the root of the workspace as opposed to the current workspace member,cargo locate-project --workspace Display the `Cargo.toml` manifest of a specific directory,cargo locate-project --manifest-path path/to/Cargo.toml Display the status of the most recent cloud-init run,cloud-init status Wait for cloud-init to finish running and then report status,cloud-init status --wait List available top-level metadata keys to query,cloud-init query --list-keys Query cached instance metadata for data,cloud-init query dot_delimited_variable_path Clean logs and artifacts to allow cloud-init to rerun,cloud-init clean Create a new functions project,func init project Create a new function,func new Run functions locally,func start Publish your code to a function app in Azure,func azure functionapp publish function Download all settings from an existing function app,func azure functionapp fetch-app-settings function Get the connection string for a specific storage account,func azure storage fetch-connection-string storage_account Connect to a serial console with a specified baud rate,picocom /dev/ttyXYZ --baud baud_rate Map special characters (e.g. `LF` to `CRLF`),picocom /dev/ttyXYZ --imap lfcrlf Save the current configuration as a profile,konsave --save profile_name Apply a profile,konsave --apply profile_name "Save the current configuration as a profile, overwriting existing profiles if they exist with the same name",konsave -s profile_name --force List all profiles,konsave --list Remove a profile,konsave --remove profile_name Export a profile as a `.knsv` to the home directory,konsave --export-profile profile_name Import a `.knsv` profile,konsave --import-profile path/to/profile_name.knsv Check if a website is using any WAF,wafw00f https://www.example.com Test for [a]ll detectable WAFs without stopping at the first match,wafw00f --findall https://www.example.com Pass requests through a [p]roxy (such as BurpSuite),wafw00f --proxy http://localhost:8080 https://www.example.com [t]est for a specific WAF product (run `wafw00f -l` to get list of all supported WAFs),wafw00f --test Cloudflare|Cloudfront|Fastly|ZScaler|... https://www.example.com Pass custom [H]eaders from a file,wafw00f --headers path/to/headers.txt https://www.example.com Read target [i]nputs from a file and show verbose output (multiple `v` for more verbosity),wafw00f --input path/to/urls.txt -vv [l]ist all WAFs that can be detected,wafw00f --list Display currently logged username,whoami Display the username after a change in the user ID,sudo whoami Display the list of supported website search scripts (elvi),surfraw -elvi Open the elvi's results page for a specific search in the browser,"surfraw elvi ""search_terms""" Display an elvi description and its specific options,surfraw elvi -local-help Search using an elvi with specific options and open the results page in the browser,"surfraw elvi elvi_options ""search_terms""" Display the URL to the elvi's results page for a specific search,"surfraw -print elvi ""search_terms""" Search using the alias,"sr elvi ""search_terms""" Start a Jupyter notebook server in the current directory,jupyter notebook Open a specific Jupyter notebook,jupyter notebook example.ipynb Export a specific Jupyter notebook into another format,jupyter nbconvert --to html|markdown|pdf|script example.ipynb Start a server on a specific port,jupyter notebook --port=port List currently running notebook servers,jupyter notebook list Stop the currently running server,jupyter notebook stop "Start JupyterLab, if installed, in the current directory",jupyter lab Start the daemon,auditd Start the daemon in debug mode,auditd -d Start the daemon on-demand from launchd,auditd -l "Run query against a BigQuery table using standard SQL, add `--dry_run` flag to estimate the number of bytes read by the query",bq query --nouse_legacy_sql 'SELECT COUNT(*) FROM DATASET_NAME.TABLE_NAME' Run a parameterized query,"bq query --use_legacy_sql=false --parameter='ts_value:TIMESTAMP:2016-12-07 08:00:00' 'SELECT TIMESTAMP_ADD(@ts_value, INTERVAL 1 HOUR)'" Create a new dataset or table in the US location,bq mk --location=US dataset_name.table_name List all datasets in a project,bq ls --filter labels.key:value --max_results integer --format=prettyjson --project_id project_id "Batch load data from a specific file in formats such as CSV, JSON, Parquet, and Avro to a table",bq load --location location --source_format CSV|JSON|PARQUET|AVRO dataset.table path_to_source Copy one table to another,bq cp dataset.OLD_TABLE dataset.new_table Display help,bq help Start the project in the current directory,fin project start Stop the project in the current directory,fin project stop Open a shell into a specific container,fin bash container_name Display logs of a specific container,fin logs container_name Display logs of a specific container and follow the log,fin logs -f container_name Compile a bison definition file,bison path/to/file.y "Compile in debug mode, which causes the resulting parser to write additional information to `stdout`",bison --debug path/to/file.y Specify the output filename,bison --output path/to/output.c path/to/file.y Be verbose when compiling,bison --verbose Generate scaffolding for a new project based on a template,lein new template_name project_name Start a REPL session either with the project or standalone,lein repl Run the project's `-main` function with optional args,lein run args Run the project's tests,lein test Package up the project files and all its dependencies into a jar file,lein uberjar Add a file to the index,git add path/to/file Add all files (tracked and untracked),git add -A|--all Add all files in the current folder,git add . Only add already tracked files,git add -u|--update Also add ignored files,git add -f|--force Interactively stage parts of files,git add -p|--patch Interactively stage parts of a given file,git add -p|--patch path/to/file Interactively stage a file,git add -i|--interactive "Process input with tables, saving the output for future typesetting with groff to PostScript",tbl path/to/input_file > path/to/output.roff Typeset input with tables to PDF using the [me] macro package,tbl -T pdf path/to/input.tbl | groff -me -T pdf > path/to/output.pdf Convert a PNM image to an SGI image,pnmtosgi path/to/input.pnm > path/to/output.sgi Disable or enable compression,pnmtosgi -verbatim|rle path/to/input.pnm > path/to/output.sgi Write the specified string into the SGI image header's `imagename` field,pnmtosgi -imagename string path/to/input.pnm > path/to/output.sgi Upgrade to the latest release,sudo do-release-upgrade Upgrade to the latest development release,sudo do-release-upgrade --devel-release Upgrade to the latest proposed release,sudo do-release-upgrade --proposed Open the main page,rustup doc "Open the documentation for a specific topic (a module in the standard library, a type, a keyword, etc.)",rustup doc std::fs|usize|fn|... Open the Rust Programming Language book,rustup doc --book Open the Cargo book,rustup doc --cargo Open the Rust Reference,rustup doc --reference "Index the /usr directory, writing to the default database location ~/.duc.db",duc index /usr "List all files and directories under /usr/local, showing relative file sizes in a [g]raph",duc ls -Fg /usr/local List all files and directories under /usr/local using treeview recursively,duc ls -Fg -R /usr/local Start the graphical interface to explore the file system using sunburst graphs,duc gui /usr Run the ncurses console interface to explore the file system,duc ui /usr Dump database info,duc info Initialize a repo with Git annex,git annex init Add a file,git annex add path/to/file_or_directory Show the current status of a file or directory,git annex status path/to/file_or_directory Synchronize a local repository with a remote,git annex remote Get a file or directory,git annex get path/to/file_or_directory Display help,git annex help "Display a small preview window of a pixel's color with it's hexadecimal value, and copy this value to the clipboard",farge Copy a pixel's hexadecimal value to the clipboard without displaying a preview window,farge --no-preview "Output a pixel's hexadecimal value to `stdout`, and copy this value to the clipboard",farge --stdout "Output a pixel's RGB value to `stdout`, and copy this value to the clipboard",farge --rgb --stdout "Display a pixel's hexadecimal value as a notification which expires in 5000 milliseconds, and copy this value to the clipboard",farge --notify --expire-time 5000 Pull the list of SlackBuilds to run `sport` for the first time,sudo mkdir -p /usr/ports && sudo rsync -av rsync://slackbuilds.org /slackbuilds/$(awk '{print $2}' /etc/slackware-version)/ /usr/ports/ Pull in any updates to the system's tree via `rsync`,sudo sport rsync Search for a package by name,"sport search ""keyword""" Check if a package is installed,sport check package Display README and `.info` files of a package,sport cat package Install a package once the dependencies are resolved,sudo sport install package Install a list of packages from a file (format: packages separated by spaces),sudo sport install $(< path/to/list) Switch `runsvdir` directories,sudo runsvchdir path/to/directory List security properties of an executable binary file,checksec --file=path/to/binary List security properties recursively of all executable files in a directory,checksec --dir=path/to/directory List security properties of a process,checksec --proc=pid List security properties of the running kernel,checksec --kernel Display general information about the OpenPGP application,ykman openpgp info "Set the number of retry attempts for the User PIN, Reset Code, and Admin PIN, respectively",ykman openpgp access set-retries 3 3 3 "Change the User PIN, Reset Code or Admin PIN",ykman openpgp access change-pin|reset-code|admin-pin Factory reset the OpenPGP application (you have to do this after exceeding the number of Admin PIN retry attempts),ykman openpgp reset Render a single line of text as a PBM image,"pbmtextps ""Hello World!"" > path/to/output.pbm" Specify the font and font size,"pbmtextps -font Times-Roman -fontsize 30 ""Hello World!"" > path/to/output.pbm" Specify the desired left and top margins,"pbmtextps -leftmargin 70 -topmargin 162 ""Hello World!"" > path/to/output.pbm" "Do not output the rendered text as a PBM image, but a PostScript program that would create this image","pbmtextps -dump-ps ""Hello World!"" > path/to/output.ps" Destroy a specific virtual machine,qm destroy vm_id Destroy all disks that are not explicitly referenced in a specific virtual machine's configuration,qm destroy vm_id --destroy-unreferenced-disks "Destroy a virtual machine and remove from all locations (inventory, backup jobs, high availability managers, etc.)",qm destroy vm_id --purge Destroy a specific virtual machine ignoring locks and forcing destroy,sudo qm destroy vm_id --skiplock List the last 10 issues with the `bug` label,"hub issue list --limit 10 --labels ""bug""" Display a specific issue,hub issue show issue_number List 10 closed issues assigneed to a specific user,hub issue --state closed --assignee username --limit 10 View documentation for the original command,tldr jf Relay syslog messages from the connected device,idevicesyslog Suppress kernel messages and print everything else,idevicesyslog --no-kernel Create an EROFS filesystem based on the root directory,mkfs.erofs image.erofs root/ Create an EROFS image with a specific UUID,mkfs.erofs -U UUID image.erofs root/ Create a compressed EROFS image,mkfs.erofs -zlz4hc image.erofs root/ Create an EROFS image where all files are owned by root,mkfs.erofs --all-root image.erofs root/ Set display brightness to 50%,blight set 50 -r Show current display brightness,blight show Print maximum display brightness,blight max Increase display brightness in %,blight inc number -r Decrease display brightness with internal units,blight dec number Convert an XML document to PYX format,xml pyx path/to/input.xml|URI > path/to/output.pyx Convert an XML document from `stdin` to PYX format,cat path/to/input.xml | xml pyx > path/to/output.pyx Display help,xml pyx --help Test random reads,fio --filename=path/to/file --direct=1 --rw=randread --bs=4k --ioengine=libaio --iodepth=256 --runtime=120 --numjobs=4 --time_based --group_reporting --name=job_name --eta-newline=1 --readonly Test sequential reads,fio --filename=path/to/file --direct=1 --rw=read --bs=4k --ioengine=libaio --iodepth=256 --runtime=120 --numjobs=4 --time_based --group_reporting --name=job_name --eta-newline=1 --readonly Test random read/write,fio --filename=path/to/file --direct=1 --rw=randrw --bs=4k --ioengine=libaio --iodepth=256 --runtime=120 --numjobs=4 --time_based --group_reporting --name=job_name --eta-newline=1 Test with parameters from a job file,fio path/to/job_file Convert a specific job file to command-line options,fio --showcmd path/to/job_file Bring up the default Hyperledger Fabric network,minifab up -i minifab_version Bring down the Hyperledger Fabric network,minifab down Install chaincode onto a specified channel,minifab install -n chaincode_name Install a specific chaincode version onto a channel,minifab install -n chaincode_name -v chaincode_version Initialize the chaincode after installation/upgrade,"minifab approve,commit,initialize,discover" Invoke a chaincode method with the specified arguments,"minifab invoke -n chaincode_name -p '""method_name"", ""argument1"", ""argument2"", ...'" Make a query on the ledger,minifab blockquery block_number Quickly run an application,minifab apprun -l app_programming_language Sniff the specified directory for issues (defaults to the PEAR standard),phpcs path/to/directory Display a list of installed coding standards,phpcs -i Specify a coding standard to validate against,phpcs path/to/directory --standard standard Specify comma-separated file extensions to include when sniffing,"phpcs path/to/directory --extensions file_extension1,file_extension2,..." "Specify the format of the output report (e.g. `full`, `xml`, `json`, `summary`)",phpcs path/to/directory --report format Set configuration variables to be used during the process,phpcs path/to/directory --config-set key value A comma-separated list of files to load before processing,"phpcs path/to/directory --bootstrap path/to/file1,path/to/file2,..." Don't recurse into subdirectories,phpcs path/to/directory -l Lint a Dockerfile,hadolint path/to/Dockerfile "Lint a Dockerfile, displaying the output in JSON format",hadolint --format json path/to/Dockerfile "Lint a Dockerfile, displaying the output in a specific format",hadolint --format tty|json|checkstyle|codeclimate|codacy path/to/Dockerfile Lint a Dockerfile ignoring specific rules,hadolint --ignore DL3006 --ignore DL3008 path/to/Dockerfile Lint multiple Dockerfiles using specific trusted registries,hadolint --trusted-registry docker.io --trusted-registry example.com:5000 path/to/Dockerfile1 path/to/Dockerfile2 ... Start Burp Suite,burpsuite Start Burp Suite using the default configuration,burpsuite --use-defaults Open a specific project file,burpsuite --project-file=path/to/file Load a specific configuration file,burpsuite --config-file=path/to/file Start without extensions,burpsuite --disable-extensions Launch a VNC client which connects to a host on a given display,vncviewer host:display_number Launch in full-screen mode,vncviewer -FullScreen host:display_number Launch a VNC client with a specific screen geometry,vncviewer --geometry widthxheight host:display_number Launch a VNC client which connects to a host on a given port,vncviewer host::port Turn a notebook into a paired `.ipynb`/`.py` notebook,"jupytext --set-formats ipynb,py notebook.ipynb" Convert a notebook to a `.py` file,jupytext --to py notebook.ipynb Convert a `.py` file to a notebook with no outputs,jupytext --to notebook notebook.py Convert a `.md` file to a notebook and run it,jupytext --to notebook --execute notebook.md Update the input cells in a notebook and preserve outputs and metadata,jupytext --update --to notebook notebook.py Update all paired representations of a notebook,jupytext --sync notebook.ipynb Show swap information,swapon Enable a given swap area,swapon path/to/file Enable all swap areas specified in `/etc/fstab` except those with the `noauto` option,swapon --all Enable a swap partition by its label,swapon -L label Generate TMS tiles for the zoom levels 2 to 5 of a raster dataset,gdal2tiles.py --zoom 2-5 path/to/input.tif path/to/output_directory Generate XYZ tiles for the zoom levels 2 to 5 of a raster dataset,gdal2tiles.py --zoom 2-5 --xyz path/to/input.tif path/to/output_directory "Scaffold a new trigger, create, search, or resource",zapier scaffold trigger|search|create|resource noun Specify a custom destination directory for the scaffolded files,zapier scaffold trigger|search|create|resource noun -d|--dest=path/to/directory Overwrite existing files when scaffolding,zapier scaffold trigger|search|create|resource noun -f|--force Exclude comments from the scaffolded files,zapier scaffold trigger|search|create|resource noun --no-help Show extra debugging output,zapier scaffold -d|--debug Display the routing table,ip route show|list Add a default route using gateway forwarding,sudo ip route add default via gateway_ip Add a default route using `eth0`,sudo ip route add default dev eth0 Add a static route,sudo ip route add destination_ip via gateway_ip dev eth0 Delete a static route,sudo ip route del destination_ip dev eth0 Change or replace a static route,sudo ip route change|replace destination_ip via gateway_ip dev eth0 Show which route will be used by the kernel to reach an IP address,ip route get destination_ip Display a random name (male or female) and address,rig Display a [m]ale (or [f]emale) random name and address,rig -m|f Use data files from a specific directory (default is `/usr/share/rig`),rig -d path/to/directory Display a specific number of identities,rig -c number Display a specific number of female identities,rig -f -c number Start `xman` in three-button window,xman Open the manual page output stored in a given file,xman -helpfile filename Show both manual page and directory,xman -bothshown Install one or more package into the currently active conda environment,conda install package1 package2 ... Install a single package into the currently active conda environment using channel conda-forge,conda install -c conda-forge package Install a single package into the currently active conda environment using channel conda-forge and ignoring other channels,conda install -c conda-forge --override-channels package Install a specific version of a package,conda install package=version Install a package into a specific environment,conda install --name environment package Update a package in the current environment,conda install --upgrade package Install a package and agree to the transactions without prompting,conda install --yes package "Format a file, overwriting the original file in-place",rustfmt path/to/source.rs Check a file for formatting and display any changes on the console,rustfmt --check path/to/source.rs Backup any modified files before formatting (the original file is renamed with a `.bk` extension),rustfmt --backup path/to/source.rs Calculate default CRC32 digests of a file,rhash path/to/file Recursively process a directory to generate an SFV file using SHA1,rhash --sha1 --recursive path/to/folder > path/to/output.sfv Verify the integrity of files based on an SFV file,rhash --check path/to/file.sfv Calculate the SHA3 digest of a text message,rhash --sha3-256 --message 'message' Calculate CRC32 digest of a file and output digest encoded in base64 using BSD format,rhash --base64 --bsd path/to/file Use custom output template,rhash --printf '%p\t%s\t%{mtime}\t%m\n' path/to/file Display the value of a Portage-specific environment variable,portageq envvar variable Display a detailed list of repositories configured with Portage,portageq repos_config / Display a list of repositories sorted by priority (highest first),portageq get_repos / Display a specific piece of metadata about an atom (i.e. package name including the version),portageq metadata / ebuild|porttree|binary|... category/package BDEPEND|DEFINED_PHASES|DEPEND|... Show the current state of the cgroups and system contexts stored by `systemd-oomd`,oomctl dump Pretty print an HTML file,tidy path/to/file.html "Enable [i]ndentation, [w]rapping lines in 100, saving to `output.html`",tidy --indent y --wrap 100 -output path/to/output.html path/to/file.html Modify an HTML file in-place using a configuration file,tidy -config path/to/configuration -modify path/to/file.html Find root domains in an IP [addr]ess range,amass intel -addr 192.168.0.1-254 Use active recon methods,amass intel -active -addr 192.168.0.1-254 Find root domains related to a [d]omain,amass intel -whois -d domain_name Find ASNs belonging to an [org]anisation,amass intel -org organisation_name Find root domains belonging to a given Autonomous System Number,amass intel -asn asn Save results to a text file,amass intel -o output_file -whois -d domain_name List all available data sources,amass intel -list Create a private certificate authority,aws acm-pca create-certificate-authority --certificate-authority-configuration ca_config --idempotency-token token --permanent-deletion-time-in-days number Describe a private certificate authority,aws acm-pca describe-certificate-authority --certificate-authority-arn ca_arn List private certificate authorities,aws acm-pca list-certificate-authorities Update a certificate authority,aws acm-pca update-certificate-authority --certificate-authority-arn ca_arn --certificate-authority-configuration ca_config --status status Delete a private certificate authority,aws acm-pca delete-certificate-authority --certificate-authority-arn ca_arn Issue a certificate,aws acm-pca issue-certificate --certificate-authority-arn ca_arn --certificate-signing-request cert_signing_request --signing-algorithm algorithm --validity validity Revoke a certificate,aws acm-pca revoke-certificate --certificate-authority-arn ca_arn --certificate-serial serial --reason reason Get certificate details,aws acm-pca get-certificate --certificate-authority-arn ca_arn --certificate-arn cert_arn Read a text file at a specific speed,cat path/to/file.txt | speedread -wpm 250 Resume from a specific line,cat path/to/file.txt | speedread -resume 5 Show multiple words at a time,cat path/to/file.txt | speedread -multiword Slow down by 10% during the reading session,[ Speed up by 10% during the reading session,] "Pause, and show the last few lines as context", Get the list of libraries and their dependencies,pkg-config --libs library1 library2 ... "Get the list of libraries, their dependencies, and proper cflags for gcc",pkg-config --cflags --libs library1 library2 ... "Compile your code with libgtk-3, libwebkit2gtk-4.0 and all their dependencies",c++ example.cpp $(pkg-config --cflags --libs gtk+-3.0 webkit2gtk-4.0) -o example Launch a session targeting the default URL of or the previous session,http-prompt Launch a session with a given URL,http-prompt http://example.com Launch a session with some initial options,http-prompt localhost:8000/api --auth username:password Concatenate all PDF files into one,sam2p *.pdf path/to/output.pdf Convert an Atari Degas PI1 image into PPM image,pi1toppm path/to/atari_image.pi1 > path/to/image.ppm Create a new Laravel application,laravel new name Use the latest development release,laravel new name --dev Overwrite if the directory already exists,laravel new name --force Install the Laravel Jetstream scaffolding,laravel new name --jet Install the Laravel Jetstream scaffolding with a specific stack,laravel new name --jet --stack livewire|inertia Install the Laravel Jetstream scaffolding with support for teams,laravel new name --jet --teams List the available installer commands,laravel list "Run a pipeline, use cached results from previous runs",nextflow run main.nf -resume Run a specific release of a remote workflow from GitHub,nextflow run user/repo -revision release_tag "Run with a given work directory for intermediate files, save execution report",nextflow run workflow -work-dir path/to/directory -with-report report.html Show details of previous runs in current directory,nextflow log Remove cache and intermediate files for a specific run,nextflow clean -force run_name List all downloaded projects,nextflow list Pull the latest version of a remote workflow from Bitbucket,nextflow pull user/repo -hub bitbucket Update Nextflow,nextflow self-update Compile the project or solution in the current directory,dotnet build Compile a .NET project or solution in debug mode,dotnet build path/to/project_or_solution Compile in release mode,dotnet build --configuration Release Compile without restoring dependencies,dotnet build --no-restore Compile with a specific verbosity level,dotnet build --verbosity quiet|minimal|normal|detailed|diagnostic Compile for a specific runtime,dotnet build --runtime runtime_identifier Specify the output directory,dotnet build --output path/to/directory View documentation for the current command,tldr pamfile Delete a tag,git delete-tag tag_version Start daemon in the background,sshd Run sshd in the foreground,sshd -D Run with verbose output (for debugging),sshd -D -d Run on a specific port,sshd -p port Upgrade `yadm` to the latest version,yadm upgrade Force the upgrade regardless of changes,yadm upgrade -f View an image,viewnior path/to/image.ext View in fullscreen mode,viewnior --fullscreen path/to/image.ext View fullscreen in slideshow mode,viewnior --slideshow path/to/image.ext Convert a PNM image to a PS file,pnmtops path/to/file.pnm > path/to/file.ps Specify the dimensions of the output image in inches,pnmtops -imagewidth imagewidth -imageheight imageheight path/to/file.pnm > path/to/file.ps Specify the dimensions of the page the output image resides on in inches,pnmtops -width width -height height path/to/file.pnm > path/to/file.ps Build documentation,sphinx-build -b html|epub|text|latex|man|... path/to/source_dir path/to/build_dir Build documentations intended for readthedocs.io (requires the sphinx-rtd-theme pip package),sphinx-build -b html path/to/docs_dir path/to/build_dir Start listening on a specific port,rc -lp port Start a reverse shell,rc host port -r shell Move a virtual disk,qm disk move vm_id destination index Delete the previous copy of the virtual disk,qm disk move -delete vm_id destination index Make a simple GET request (shows response headers and content),http https://example.com "Print specific parts of the content (`H`: request headers, `B`: request body, `h`: response headers, `b`: response body, `m`: response metadata)",http --print H|B|h|b|m|Hh|Hhb|... https://example.com Specify the HTTP method when sending a request and use a proxy to intercept the request,http GET|POST|HEAD|PUT|PATCH|DELETE|... --proxy http|https:http://localhost:8080|socks5://localhost:9050|... https://example.com Follow any `3xx` redirects and specify additional headers in a request,http -F|--follow https://example.com 'User-Agent: Mozilla/5.0' 'Accept-Encoding: gzip' Authenticate to a server using different authentication methods,http --auth username:password|token --auth-type basic|digest|bearer GET|POST|... https://example.com/auth Construct a request but do not send it (similar to a dry-run),http --offline GET|DELETE|... https://example.com "Use named sessions for persistent custom headers, auth credentials and cookies",http --session session_name|path/to/session.json --auth username:password https://example.com/auth API-KEY:xxx "Upload a file to a form (the example below assumes that the form field is ``)",http --form POST https://example.com/upload cv@path/to/file Remove a user,sudo deluser username Remove a user and their home directory,sudo deluser --remove-home username "Remove a user and their home, but backup their files into a `.tar.gz` file in the specified directory",sudo deluser --backup-to path/to/backup_directory --remove-home username "Remove a user, and all files owned by them",sudo deluser --remove-all-files username "Read a PBM image, set its maxval and save it to a file",pamdepth maxval path/to/image.pbm > path/to/file.pbm Start MPD,mpd Start MPD but don't read from the configuration file,mpd --no-config Start MPD and don't detach it from the console,mpd --no-daemon Kill the currently running MPD session,mpd --kill Launch VLC in a container,x11docker --pulseaudio --share=$HOME/Videos jess/vlc Launch Xfce in a window,x11docker --desktop x11docker/xfce Launch GNOME in a window,x11docker --desktop --gpu --init=systemd x11docker/gnome Launch KDE Plasma in a window,x11docker --desktop --gpu --init=systemd x11docker/kde-plasma Display help,x11docker --help Clone a GitLab repository locally,glab repo clone owner/repository Create a new issue,glab issue create View and filter the open issues of the current repository,glab issue list View an issue in the default browser,glab issue view --web issue_number Create a merge request,glab mr create View a pull request in the default web browser,glab mr view --web pr_number Check out a specific pull request locally,glab mr checkout pr_number Send local changes in the current branch to its default remote counterpart,git push Send changes from a specific local branch to its remote counterpart,git push remote_name local_branch "Send changes from a specific local branch to its remote counterpart, and set the remote one as the default push/pull target of the local one",git push -u remote_name local_branch Send changes from a specific local branch to a specific remote branch,git push remote_name local_branch:remote_branch Send changes on all local branches to their counterparts in a given remote repository,git push --all remote_name Delete a branch in a remote repository,git push remote_name --delete remote_branch Remove remote branches that don't have a local counterpart,git push --prune remote_name Publish tags that aren't yet in the remote repository,git push --tags Serve a `.js` or `.vue` file in development mode with zero config,vue serve filename Convert a Netpbm image to the QOI format,pamtoqoi path/to/image.pnm > path/to/output.qoi Interactively create a new stack configuration,apx stacks new Interactively update a stack configuration,apx stacks update name List all available stack configurations,apx stacks list Remove a specified stack configuration,apx stacks rm --name string Import a stack configuration,apx stacks import --input path/to/stack.yml "Export the stack configuration (Note: the output flag is optional, it is exported to the current working directory by default)",apx stacks export --name string --output path/to/output_file Display the information of route table,route -n Add route rule,sudo route add -net ip_address netmask netmask_address gw gw_address Delete route rule,sudo route del -net ip_address netmask netmask_address dev gw_address Operate on the specified directory instead of the root directory of the host system,sudo systemd-firstboot --root=path/to/root_directory Set the system keyboard layout,sudo systemd-firstboot --keymap=keymap Set the system hostname,sudo systemd-firstboot --hostname=hostname Set the root user's password,sudo systemd-firstboot --root-password=password Prompt the user interactively for a specific basic setting,sudo systemd-firstboot --prompt=setting Force writing configuration even if the relevant files already exist,sudo systemd-firstboot --force Remove all existing files that are configured by `systemd-firstboot`,sudo systemd-firstboot --reset Remove the password of the system's root user,sudo systemd-firstboot --delete-root-password List workloads currently running in the cluster on specific namespace,fluxctl --k8s-fwd-ns=namespace list-workloads Show deployed and available images,fluxctl list-images Synchronize the cluster with the Git repository,fluxctl sync Turn on automatic deployment for a workload,fluxctl automate Run with concurrent users and a specified amount of requests per second,loadtest --concurrency 10 --rps 200 https://example.com Run with a custom HTTP header,"loadtest --headers ""accept:text/plain;text-html"" https://example.com" Run with a specific HTTP method,loadtest --method GET https://example.com Subscribe to the topic `sensors/temperature` information with Quality of Service (`QoS`) set to 1. (The default hostname is `localhost` and port 1883),mosquitto_sub -t sensors/temperature -q 1 Subscribe to all broker status messages publishing on `iot.eclipse.org` port 1885 and print published messages verbosely,"mosquitto_sub -v -h ""iot.eclipse.org"" -p 1885 -t \$SYS/#" Subscribe to multiple topics matching a given pattern. (+ takes any metric name),mosquitto_sub -t sensors/machines/+/temperature/+ Send a message,wall message Send a message to users that belong to a specific group,wall --group group_name message Send a message from a file,wall file Send a message with timeout (default 300),wall --timeout seconds file List all managed domains,linode-cli domains list Create a new managed domain,linode-cli domains create --domain domain_name --type master|slave --soa-email email View details of a specific domain,linode-cli domains view domain_id Delete a managed domain,linode-cli domains delete domain_id List records for a specific domain,linode-cli domains records-list domain_id Add a DNS record to a domain,linode-cli domains records-create domain_id --type A|AAAA|CNAME|MX|... --name subdomain --target target_value Update a DNS record for a domain,linode-cli domains records-update domain_id record_id --target new_target_value Delete a DNS record from a domain,linode-cli domains records-delete domain_id record_id Search the `gcloud` CLI reference documents for specific terms,gcloud help Display general information about the OATH application,ykman oath info Change the password used to protect OATH accounts (add `--clear` to remove it),ykman oath access change Add a new account (`--issuer` is optional),ykman oath accounts add --issuer issuer name List all accounts (with their issuers),ykman oath accounts list List all accounts with their current TOTP/HOTP codes (optionally filtering the list with a keyword),ykman oath accounts code keyword Rename an account,ykman oath accounts rename keyword issuer:name|name Delete an account,ykman oath accounts delete keyword Delete all accounts and restore factory settings,ykman oath reset Open a LUKS volume and create a decrypted mapping at `/dev/mapper/mapping_name`,cryptsetup open /dev/sdXY mapping_name Use a keyfile instead of a passphrase,cryptsetup open --key-file path/to/file /dev/sdXY mapping_name Allow the use of TRIM on the device,cryptsetup open --allow-discards /dev/sdXY mapping_name Write the `--allow-discards` option into the LUKS header (the option will then always be used when you open the device),cryptsetup open --allow-discards --persistent /dev/sdXY mapping_name Open a LUKS volume and make the decrypted mapping read-only,cryptsetup open --readonly /dev/sdXY mapping_name Display the wireframe of an icosahedron that changes its position every 0.1 seconds,ico -sleep 0.1 Display a solid icosahedron with red faces on a blue background,ico -faces -noedges -colors red -bg blue Display the wireframe of a cube with size 100x100 that moves by +1+2 per frame,ico -obj cube -size 100x100 -delta +1+2 Display the inverted wireframe of an icosahedron with line width 10 using 5 threads,ico -i -lw 10 -threads 5 View documentation for the current command,tldr pamsplit Convert a PPM image to a PCX file,ppmtopcx path/to/file.ppm > path/to/file.pcx Produce a PCX file with the specified color depth,ppmtopcx -8bit|24bit path/to/file.ppm > path/to/file.pcx "Find files containing ""foo"" and print the files with highlighted matches",pt foo "Find files containing ""foo"" and display count of matches in each file",pt -c foo "Find files containing ""foo"" as a whole word and ignore its case",pt -wi foo "Find ""foo"" in files with a given extension using a regular expression",pt -G='\.bar$' foo "Find files whose contents match the regular expression, up to 2 directories deep",pt --depth=2 -e '^ba[rz]*$' Start a Hive interactive shell,hive Run HiveQL,"hive -e ""hiveql_query""" Run a HiveQL file with a variable substitution,hive --define key=value -f path/to/file.sql Run a HiveQL with HiveConfig (e.g. `mapred.reduce.tasks=32`),hive --hiveconf conf_name=conf_value View documentation for the original command,tldr fossil rm Add a symbolic link,sudo update-alternatives --install path/to/symlink command_name path/to/command_binary priority Configure a symbolic link for `java`,sudo update-alternatives --config java Remove a symbolic link,sudo update-alternatives --remove java /opt/java/jdk1.8.0_102/bin/java Display information about a specified command,update-alternatives --display java Display all commands and their current selection,update-alternatives --get-selections Start a single-node etcd cluster,etcd "Start a single-node etcd cluster, listening for client requests on a custom URL",etcd --advertise-client-urls http://127.0.0.1:1234 --listen-client-urls http://127.0.0.1:1234 Start a single-node etcd cluster with a custom name,etcd --name my_etcd_cluster Start a single-node etcd cluster with extensive metrics available at ,etcd --enable-pprof --metrics extensive "Display a 32-bit checksum, size in bytes and filename",cksum path/to/file View documentation for the current command,tldr gemtopnm "Log in to the managed Pulumi Cloud backend, defaults to `app.pulumi.cloud`",pulumi login Log in to a self-hosted Pulumi Cloud backend on a specified URL,pulumi login url "Use Pulumi locally, independent of a Pulumi Cloud",pulumi login -l|--local Add an API token to the local credential storage (located in `$CARGO_HOME/credentials.toml`),cargo login Use the specified registry (registry names can be defined in the configuration - the default is ),cargo login --registry name Test your internet connection and ping speed,speed-test Print the results as JSON,speed-test --json Print the results in megabytes per second (MBps),speed-test --bytes Print more detailed information,speed-test --verbose Capture traffic of all interfaces,ngrep -d any Capture traffic of a specific interface,ngrep -d eth0 Capture traffic crossing port 22 of interface eth0,ngrep -d eth0 port 22 Capture traffic from or to a host,ngrep host www.example.com Filter keyword 'User-Agent:' of interface eth0,ngrep -d eth0 'User-Agent:' "Repeatedly output ""message""",yes message "Repeatedly output ""y""",yes Accept everything prompted by the `apt-get` command,yes | sudo apt-get install program Repeatedly output a newline to always accept the default option of a prompt,yes '' View the queue,squeue View jobs queued by a specific user,squeue -u username View the queue and refresh every 5 seconds,squeue -i 5 View the queue with expected start times,squeue --start View documentation for the original command,tldr xzgrep Generate a QR code,"echo ""data"" | qr" Specify the error correction level (defaults to M),"echo ""data"" | qr --error-correction=L|M|Q|H" Launch ranger,ranger Show only directories,ranger --show-only-dirs Change the configuration directory,ranger --confdir=path/to/directory Change the data directory,ranger --datadir=path/to/directory Print CPU usage statistics on exit,ranger --profile Check whether the adb server process is running and start it,adb start-server Terminate the adb server process,adb kill-server Start a remote shell in the target emulator/device instance,adb shell Push an Android application to an emulator/device,adb install -r path/to/file.apk Copy a file/directory from the target device,adb pull path/to/device_file_or_directory path/to/local_destination_directory Copy a file/directory to the target device,adb push path/to/local_file_or_directory path/to/device_destination_directory List all connected devices,adb devices List all the currently connected printers,lpinfo -v List all the currently installed printer drivers,lpinfo -m Search installed printer drivers by make and model,"lpinfo --make-and-model ""printer_model"" -m" Register a node at a Puppet server and apply the received catalog,puppet agent --test --server puppetserver_fqdn --serverport port --waitforcert poll_time Run the agent in the background (uses settings from `puppet.conf`),puppet agent "Run the agent once in the foreground, then exit",puppet agent --test Run the agent in dry-mode,puppet agent --test --noop Log every resource being evaluated (even if nothing is being changed),puppet agent --test --evaltrace Disable the agent,"puppet agent --disable ""message""" Enable the agent,puppet agent --enable Create a vfat filesystem inside partition 1 on device b (`sdb1`),mkfs.vfat /dev/sdb1 Create filesystem with a volume-name,mkfs.vfat -n volume_name /dev/sdb1 Create filesystem with a volume-id,mkfs.vfat -i volume_id /dev/sdb1 Use 5 instead of 2 file allocation tables,mkfs.vfat -f 5 /dev/sdb1 Capture a screenshot of the entire desktop,spectacle Capture a screenshot of the active window,spectacle --activewindow Capture a screenshot of a specific region,spectacle --region Create or append files and directories to a squashfs filesystem (compressed using `gzip` by default),mksquashfs path/to/file_or_directory1 path/to/file_or_directory2 ... filesystem.squashfs "Create or append files and directories to a squashfs filesystem, using a specific [comp]ression algorithm",mksquashfs path/to/file_or_directory1 path/to/file_or_directory2 ... filesystem.squashfs -comp gzip|lzo|lz4|xz|zstd|lzma "Create or append files and directories to a squashfs filesystem, [e]xcluding some of them",mksquashfs path/to/file_or_directory1 path/to/file_or_directory2 ... filesystem.squashfs -e file|directory1 file|directory2 ... "Create or append files and directories to a squashfs filesystem, [e]xcluding those ending with gzip","mksquashfs path/to/file_or_directory1 path/to/file_or_directory2 ... filesystem.squashfs -wildcards -e ""*.gz""" "Create or append files and directories to a squashfs filesystem, [e]xcluding those matching a regular expression","mksquashfs path/to/file_or_directory1 path/to/file_or_directory2 ... filesystem.squashfs -regex -e ""regular_expression""" Check for breaking changes since the last tag,roave-backward-compatibility-check Check for breaking changes since a specific tag,roave-backward-compatibility-check --from=git_reference Check for breaking changes between the last tag and a specific reference,roave-backward-compatibility-check --to=git_reference Check for breaking changes and output to Markdown,roave-backward-compatibility-check --format=markdown > results.md Convert the specified Lisp Machine bitmap file into a PGM image,lispmtopgm path/to/input.lispm > path/to/output.pgm Search for a query on Google (default provider),s query List all providers,s --list-providers Search for a query with a given provider,s --provider provider query Use a specified binary to perform the search query,"s --binary ""binary arguments"" query" Use a specific base URL,cotton -u base_url path/to/file.md Disable certificate verification (insecure mode),cotton -u base_url -i path/to/file.md Stop running when a test fails,cotton -u base_url -s path/to/file.md Decrypt text after a keystroke,"echo ""Hello, World!"" | nms" "Decrypt output immediately, without waiting for a keystroke",ls -la | nms -a "Decrypt the content of a file, with a custom output color",cat path/to/file | nms -a -f blue|white|yellow|black|magenta|green|red Clear the screen before decrypting,command | nms -a -c List files from the current checked out branch that differ from the `main` branch,git delta main List files from a specific branch that differ from another specific branch,git delta branch_1 branch_2 Scan for subdomains using the internal wordlist,dnsmap example.com Specify a list of subdomains to check for,dnsmap example.com -w path/to/wordlist.txt Store results to a CSV file,dnsmap example.com -c path/to/file.csv Ignore 2 IPs that are false positives (up to 5 possible),"dnsmap example.com -i 123.45.67.89,98.76.54.32" Record a sample recording using the default target,pw-record path/to/file.wav Record a sample recording at a different volume level,pw-record --volume=0.1 path/to/file.wav Record a sample recording using a different sample rate,pw-record --rate=6000 path/to/file.wav Restore virtual machine from given backup file on the original storage,qmrestore path/to/vzdump-qemu-100.vma.lzo 100 Overwrite existing virtual machine from a given backup file on the original storage,qmrestore path/to/vzdump-qemu-100.vma.lzo 100 --force true Restore the virtual machine from a given backup file on specific storage,qmrestore path/to/vzdump-qemu-100.vma.lzo 100 --storage local Start virtual machine immediately from the backup while restoring in the background (only on Proxmox Backup Server),qmrestore path/to/vzdump-qemu-100.vma.lzo 100 --live-restore true List all mounted filesystems,findmnt Search for a device,findmnt /dev/sdb1 Search for a mountpoint,findmnt / Find filesystems in specific type,findmnt -t ext4 Find filesystems with specific label,findmnt LABEL=BigStorage Check mount table content in detail and verify `/etc/fstab`,findmnt --verify --verbose Execute a code snippet,"ngs -e ""echo('ngs is executed')""" Execute a script,ngs path/to/script.ngs Display version,ngs --version Set a user's login shell to `nologin` to prevent the user from logging in,chsh -s user nologin Customize message for users with the login shell of `nologin`,"echo ""declined_login_message"" > /etc/nologin.txt" Execute a `gcrane` subcommand,gcrane subcommand Allow pushing non-distributable (foreign) layers,gcrane --allow-nondistributable-artifacts subcommand Allow image references to be fetched without TLS,gcrane --insecure subcommand Specify the platform in the form os/arch{{/variant}}{{:osversion}} (e.g. linux/amd64). (default all),gcrane --platform platform subcommand Enable debug logs,gcrane -v|--verbose subcommand Display help,gcrane -h|--help Connect to a server,cradle connect server_name Execute a Cradle command,cradle command Display help,cradle help Display help for a specific command,cradle command help List all available modules,nettacker --show-all-modules Run a port scan on targets,"nettacker -m|--modules port_scan -i|--targets 192.168.0.1/24,owasp.org,scanme.org,..." Run a port scan on specific ports and targets listed in a file (newline separated),"nettacker -m|--modules port_scan -g|--ports 22,80,443,... -l|--targets-list path/to/targets.txt" Run ping test before scan and then run multiple scan types on target,"nettacker --ping-before-scan -m|--modules port_scan,subdomain_scan,waf_scan,... -g|--ports 80,443 -i|--targets owasp.org" Generate a `CREATE TABLE` SQL statement for a CSV file,csvsql path/to/data.csv Import a CSV file into an SQL database,"csvsql --insert --db ""mysql://user:password@host/database"" data.csv" Run an SQL query on a CSV file,"csvsql --query ""select * from 'data'"" data.csv" Decrypt files,yadm decrypt Issue a certificate using an automatic DNS API mode,acme.sh --issue --dns gnd_gd --domain example.com Issue a wildcard certificate (denoted by an asterisk) using an automatic DNS API mode,acme.sh --issue --dns dns_namesilo --domain example.com --domain *.example.com Issue a certificate using a DNS alias mode,acme.sh --issue --dns dns_cf --domain example.com --challenge-alias alias-for-example-validation.com Issue a certificate while disabling automatic Cloudflare/Google DNS polling after the DNS record is added by specifying a custom wait time in seconds,acme.sh --issue --dns dns_namecheap --domain example.com --dnssleep 300 Issue a certificate using a manual DNS mode,acme.sh --issue --dns --domain example.com --yes-I-know-dns-manual-mode-enough-go-ahead-please "Get all mirrors, sort for download speed and save them",sudo reflector --sort rate --save /etc/pacman.d/mirrorlist Only get German HTTPS mirrors,reflector --country Germany --protocol https Only get the 10 recently sync'd mirrors,reflector --latest 10 View documentation for the original command,tldr megatools-dl Set up a VPN tunnel,wg-quick up interface_name Delete a VPN tunnel,wg-quick down interface_name Print a file with the author name and commit hash prepended to each line,git annotate path/to/file Print a file with the author email and commit hash prepended to each line,git annotate -e|--show-email path/to/file Print only rows that match a regular expression,git annotate -L :regexp path/to/file List all available networks,lxc network list Show the configuration of a specific network,lxc network show network_name Add a running instance to a specific network,lxc network attach network_name container_name Create a new managed network,lxc network create network_name Set a bridge interface of a specific network,lxc network set network_name bridge.external_interfaces eth0 Disable NAT for a specific network,lxc network set network_name ipv4.nat false Extract all files/directories from specific archives into the current directory,unzip path/to/archive1.zip path/to/archive2.zip ... Extract files/directories from archives to a specific path,unzip path/to/archive1.zip path/to/archive2.zip ... -d path/to/output Extract files/directories from archives to `stdout` alongside the extracted file names,unzip -c path/to/archive1.zip path/to/archive2.zip ... "Extract an archive created on Windows, containing files with non-ASCII (e.g. Chinese or Japanese characters) filenames",unzip -O gbk path/to/archive1.zip path/to/archive2.zip ... List the contents of a specific archive without extracting them,unzip -l path/to/archive.zip Extract a specific file from an archive,unzip -j path/to/archive.zip path/to/file1_in_archive path/to/file2_in_archive ... Globally set your name or email (this information is required to commit to a repository and will be included in all commits),"git config --global user.name|user.email ""Your Name|email@example.com""" List local or global configuration entries,git config --list --local|global "List only system configuration entries (stored in `/etc/gitconfig`), and show their file location",git config --list --system --show-origin Get the value of a given configuration entry,git config alias.unstage Set the global value of a given configuration entry,"git config --global alias.unstage ""reset HEAD --""" Revert a global configuration entry to its default value,git config --global --unset alias.unstage Edit the local Git configuration (`.git/config`) in the default editor,git config --edit Edit the global Git configuration (`~/.gitconfig` by default or `$XDG_CONFIG_HOME/git/config` if such a file exists) in the default editor,git config --global --edit Convert a PYX (ESIS - ISO 8879) document to XML format,xml depyx path/to/input.pyx|URI > path/to/output.xml Convert a PYX document from `stdin` to XML format,cat path/to/input.pyx | xml depyx > path/to/output.xml Display help,xml depyx --help Start quote mode with the builtin quote list in English,tt -quotes en Produce a test consisting of 50 randomly drawn words in 5 groups of 10 words each,tt -n 10 -g 5 Start a timed test lasting 10 seconds,tt -t 10 Start `tt` with no theming and showing your WPM as you type,tt -showwpm -notheme Create an image of cratered terrain with the specified dimensions,pamcrater -height height -width width > path/to/output.pam Create an image containing the specified number of craters,pamcrater -number n_craters > path/to/output.pam Execute a specific QEMU Guest Agent command,qm guest cmd virtual_machine_id fsfreeze-freeze|fsfreeze-status|fsfreeze-thaw|fstrim|get-fsinfo|... Search for a pattern within a file,"grep ""search_pattern"" path/to/file" Search for an exact string (disables regular expressions),"grep -F|--fixed-strings ""exact_string"" path/to/file" "Search for a pattern in all files recursively in a directory, showing line numbers of matches, ignoring binary files","grep -r|--recursive -n|--line-number --binary-files without-match ""search_pattern"" path/to/directory" "Use extended regular expressions (supports `?`, `+`, `{}`, `()` and `|`), in case-insensitive mode","grep -E|--extended-regexp -i|--ignore-case ""search_pattern"" path/to/file" "Print 3 lines of context around, before, or after each match","grep --context|before-context|after-context 3 ""search_pattern"" path/to/file" Print file name and line number for each match with color output,"grep -H|--with-filename -n|--line-number --color=always ""search_pattern"" path/to/file" "Search for lines matching a pattern, printing only the matched text","grep -o|--only-matching ""search_pattern"" path/to/file" Search `stdin` for lines that do not match a pattern,"cat path/to/file | grep -v|--invert-match ""search_pattern""" Switch between different GPU modes,optimus-manager --switch nvidia|integrated|hybrid Clean up,optimus-manager --cleanup Check tags for a GPG signature,git verify-tag tag1 optional_tag2 ... Check tags for a GPG signature and show details for each tag,git verify-tag tag1 optional_tag2 ... --verbose Check tags for a GPG signature and print the raw details,git verify-tag tag1 optional_tag2 ... --raw Display the currently logged in user's name,logname Analyze backtrace for the current working directory,abrt-action-analyze-backtrace Analyze backtrace for a specific directory,abrt-action-analyze-backtrace -d path/to/directory Analyze backtrace verbosely,abrt-action-analyze-backtrace -v Create a new organization,pio org create organization_name Delete an organization,pio org destroy organization_name Add a user to an organization,pio org add organization_name username Remove a user from an organization,pio org remove organization_name username List all organizations the current user is a member of and their owners,pio org list "Update the name, email or display name of an organization",pio org update --orgname new_organization_name --email new_email --displayname new_display_name organization_name Print superblock's information,sudo btrfs inspect-internal dump-super path/to/partition Print superblock's and all of its copies' information,sudo btrfs inspect-internal dump-super --all path/to/partition Print filesystem's metadata information,sudo btrfs inspect-internal dump-tree path/to/partition Print list of files in inode `n`-th,sudo btrfs inspect-internal inode-resolve n path/to/btrfs_mount Print list of files at a given logical address,sudo btrfs inspect-internal logical-resolve logical_address path/to/btrfs_mount "Print stats of root, extent, csum and fs trees",sudo btrfs inspect-internal tree-stats path/to/partition Print stack and locks information of a Java process,jhsdb jstack --pid pid Open a core dump in interactive debug mode,jhsdb clhsdb --core path/to/core_dump --exe path/to/jdk/bin/java Start a remote debug server,jhsdb debugd --pid pid --serverid optional_unique_id Connect to a process in interactive debug mode,jhsdb clhsdb --pid pid Format a file and print the result to `stdout`,prettier path/to/file Check if a specific file has been formatted,prettier --check path/to/file Run with a specific configuration file,prettier --config path/to/config_file path/to/file "Format a file or directory, replacing the original",prettier --write path/to/file_or_directory Format files or directories recursively using single quotes and no trailing commas,prettier --single-quote --trailing-comma none --write path/to/file_or_directory "Format JavaScript and TypeScript files recursively, replacing the original","prettier --write ""**/*.{js,jsx,ts,tsx}""" Print information on a plugin,gst-inspect-1.0 plugin List hardware transcoding capabilities of your device,gst-inspect-1.0 vaapi|nvcodec Convert from CSV to TSV,csv2tsv path/to/input_csv1 path/to/input_csv2 ... > path/to/output_tsv Convert field delimiter separated CSV to TSV,csv2tsv -c'field_delimiter' path/to/input_csv Convert semicolon separated CSV to TSV,csv2tsv -c';' path/to/input_csv List all targets,pants list :: Run all tests,pants test :: "Fix, format, and lint only uncommitted files",pants --changed-since=HEAD fix fmt lint Typecheck only uncommitted files and their dependents,pants --changed-since=HEAD --changed-dependents=transitive check Create a distributable package for the specified target,pants package path/to/directory:target-name Auto-generate BUILD file targets for new source files,pants tailor :: Display help,pants help View documentation for the Ruff linter,tldr ruff check View documentation for the Ruff code formatter,tldr ruff format Produce a packing of the specified images,pnmmontage path/to/image1.pnm path/to/image2.pnm ... > path/to/output.pnm Specify the quality of the packing (Note: larger values produce smaller packings but take longer to compute.),pnmmontage -0..9 path/to/image1.pnm path/to/image2.pnm ... > path/to/output.pnm Produce a packing that is not larger than `p` percent of the optimal packing,pnmmontage -quality p path/to/image1.pnm path/to/image2.pnm ... > path/to/output.pnm Write the positions of the input files within the packed image to a machine-readable file,pnmmontage -data path/to/datafile path/to/image1.pnm path/to/image2.pnm ... > path/to/output.pnm Escape special XML characters in a string,"xml escape """"" Escape special XML characters from `stdin`,"echo """" | xml escape" Display help,xml escape --help Run a script,coffee path/to/file.coffee Compile to JavaScript and save to a file with the same name,coffee --compile path/to/file.coffee Compile to JavaScript and save to a given output file,coffee --compile path/to/file.coffee --output path/to/file.js Start a REPL (interactive shell),coffee --interactive Watch script for changes and re-run script,coffee --watch path/to/file.coffee Display a calendar for the current month,jcal "Display the previous, current, and next months",jcal -3 Display a calendar for a specific year (4 digits),jcal year Display a calendar for a specific month and year,jcal year month Merge two audio files into one,sox -m path/to/input_audio1 path/to/input_audio2 path/to/output_audio Trim an audio file to the specified times,sox path/to/input_audio path/to/output_audio trim start duration "Normalize an audio file (adjust volume to the maximum peak level, without clipping)",sox --norm path/to/input_audio path/to/output_audio Reverse and save an audio file,sox path/to/input_audio path/to/output_audio reverse Print statistical data of an audio file,sox path/to/input_audio -n stat Increase the volume of an audio file by 2x,sox -v 2.0 path/to/input_audio path/to/output_audio Convert the data from several `warts` files into one PCAP file,sc_warts2pcap -o path/to/output.pcap path/to/file1.warts path/to/file2.warts ... Convert the data from a `warts` file into a PCAP file and sort the packets by timestamp,sc_warts2pcap -s -o path/to/output.pcap path/to/file.warts View images locally or using a URL,feh path/to/images View images recursively,feh --recursive path/to/images View images without window borders,feh --borderless path/to/images Exit after the last image,feh --cycle-once path/to/images Use a specific slideshow cycle delay,feh --slideshow-delay seconds path/to/images "Use a specific wallpaper mode (centered, filled, maximized, scaled or tiled)",feh --bg-center|fill|max|scale|tile path/to/image "Create a montage of all images within a directory, outputting as a new image","feh --montage --thumb-height 150 --thumb-width 150 --index-info ""%nn%wx%h"" --output path/to/montage_image.png" Convert WAV to Opus using default options,opusenc path/to/input.wav path/to/output.opus Convert stereo audio at the highest quality level,opusenc --bitrate 512 path/to/input.wav path/to/output.opus Convert 5.1 surround sound audio at the highest quality level,opusenc --bitrate 1536 path/to/input.flac path/to/output.opus Convert speech audio at the lowest quality level,opusenc path/to/input.wav --downmix-mono --bitrate 6 path/to/out.opus Start a snake game,nsnake Navigate the snake,Up|Down|Left|Right arrow key Pause/unpause the game,p Quit the game,q Display help during the game,h Start Sindre's interactive CLI,sindresorhus List all local and global configuration options and their values,dolt config --list Display the value of a local or global configuration variable,dolt config --get name "Modify the value of a local configuration variable, creating it if it doesn't exist",dolt config --add name value "Modify the value of a global configuration variable, creating it if it doesn't exist",dolt config --global --add name value Delete a local configuration variable,dolt config --unset name Delete a global configuration variable,dolt config --global --unset name List packages,go list ./... List standard packages,go list std List packages in JSON format,go list -json time net/http List module dependencies and available updates,go list -m -u all List services with runlevel,chkconfig --list Show a service's runlevel,chkconfig --list ntpd Enable service at boot,chkconfig sshd on "Enable service at boot for runlevels 2, 3, 4, and 5",chkconfig --level 2345 sshd on Disable service at boot,chkconfig ntpd off Disable service at boot for runlevel 3,chkconfig --level 3 ntpd off Print all database names,einfo -dbs Print all information of the protein database in XML format,einfo -db protein Print all fields of the nuccore database,einfo -db nuccore -fields Print all links of the protein database,einfo -db protein -links Print memory usage for current processes,smem Print memory usage for current processes for a every user on a system,smem --users Print memory usage for current processes for a specified user,smem --userfilter username Print system memory information,smem --system Print all branches which are not merged into the current HEAD,git show-unmerged-branches Set the default printer,lpadmin -d printer Delete a specific printer or class,lpadmin -x printer|class Add a printer to a class,lpadmin -p printer -c class Remove a printer from a class,lpadmin -p printer -r class List accessible devices,duf "List everything (such as pseudo, duplicate or inaccessible file systems)",duf --all Only show specified devices or mount points,duf path/to/directory1 path/to/directory2 ... Sort the output by a specified criteria,duf --sort size|used|avail|usage Show or hide specific filesystems,duf --only-fs|hide-fs tmpfs|vfat|ext4|xfs Sort the output by key,duf --sort mountpoint|size|used|avail|usage|inodes|inodes_used|inodes_avail|inodes_usage|type|filesystem Change the theme (if `duf` fails to use the right theme),duf --theme dark|light Install one or more packages from files,sudo pacman --upgrade path/to/package1.pkg.tar.zst path/to/package2.pkg.tar.zst Install a package without prompting,sudo pacman --upgrade --noconfirm path/to/package.pkg.tar.zst Overwrite conflicting files during a package installation,sudo pacman --upgrade --overwrite path/to/file path/to/package.pkg.tar.zst "Install a package, skipping the dependency version checks",sudo pacman --upgrade --nodeps path/to/package.pkg.tar.zst List packages that would be affected (does not install any packages),pacman --upgrade --print path/to/package.pkg.tar.zst Display help,pacman --upgrade --help Download a specific Docker image,docker pull image:tag Download a specific Docker image in quiet mode,docker pull --quiet image:tag Download all tags of a specific Docker image,docker pull --all-tags image "Download a Docker images for a specific platform, e.g. linux/amd64",docker pull --platform linux/amd64 image:tag Display help,docker pull --help List service codes of a specific region,aws pricing describe-services --region us-east-1 List attributes for a given service code in a specific region,aws pricing describe-services --service-code AmazonEC2 --region us-east-1 Print pricing information for a service code in a specific region,aws pricing get-products --service-code AmazonEC2 --region us-east-1 List values for a specific attribute for a service code in a specific region,aws pricing get-attribute-values --service-code AmazonEC2 --attribute-name instanceType --region us-east-1 Print pricing information for a service code using filters for instance type and location,"aws pricing get-products --service-code AmazonEC2 --filters ""Type=TERM_MATCH,Field=instanceType,Value=m5.xlarge"" ""Type=TERM_MATCH,Field=location,Value=US East (N. Virginia)"" --region us-east-1" Delete the underlying storage system for the storage pool specified by name or UUID (determine using `virsh pool-list`),virsh pool-delete --pool name|uuid Trim whitespace from a file,cat path/to/file | git stripspace Trim whitespace and Git comments from a file,cat path/to/file | git stripspace --strip-comments Convert all lines in a file into Git comments,git stripspace --comment-lines < path/to/file Generate a PGM image containing white noise,pbmnoise width height > path/to/output.pbm Specify the seed for the pseudo-random number generator,pbmnoise width height -randomseed value > path/to/output.pbm Specify the desired rate of white to black pixels,pbmnoise width height -ratio 1/3 > path/to/output.pbm Migrate a specific virtual machine,qm migrate vm_id target Override the current I/O bandwidth limit with 10 KiB/s,qm migrate vm_id target --bwlimit 10 Allow migration of virtual machines using local devices (root only),qm migrate vm_id target --force true Use online/live migration if a virtual machine is running,qm migrate vm_id target --online true Enable live storage migration for local disks,qm migrate vm_id target --with-local-disks true Remove the first positional parameter,shift Remove the first `N` positional parameters,shift N View documentation for the current command,tldr pamflip Optimize a PNG,zopflipng input.png output.png Optimize several PNGs and save with given prefix,zopflipng --prefix=prefix image1.png image2.png image3.png Store data that you type from the keyboard,read variable Store each of the next lines you enter as values of an array,read -a array Specify the number of maximum characters to be read,read -n character_count variable Assign multiple values to multiple variables,"read _ variable1 _ variable2 <<< ""The surname is Bond""" Do not let backslash (\\) act as an escape character,read -r variable Display a prompt before the input,"read -p ""Enter your input here: "" variable" Do not echo typed characters (silent mode),read -s variable Read `stdin` and perform an action on every line,"while read line; do echo|ls|rm|... ""$line""; done < /dev/stdin|path/to/file|..." Generate an encrypted file that can be decrypted with a passphrase,age --passphrase --output path/to/encrypted_file path/to/unencrypted_file Encrypt a file with one or more public keys entered as literals (repeat the `--recipient` flag to specify multiple public keys),age --recipient public_key --output path/to/encrypted_file path/to/unencrypted_file Encrypt a file to one or more recipients with their public keys specified in a file (one per line),age --recipients-file path/to/recipients_file --output path/to/encrypted_file path/to/unencrypted_file Decrypt a file with a passphrase,age --decrypt --output path/to/decrypted_file path/to/encrypted_file Decrypt a file with a private key file,age --decrypt --identity path/to/private_key_file --output path/to/decrypted_file path/to/encrypted_file Start Picard,picard Open a set of files,picard path/to/file1.mp3 path/to/file2.mp3 Display the version of Picard installed,picard --long-version Convert to a tab-delimited file (TSV),csvformat -T data.csv Convert delimiters to a custom character,"csvformat -D ""custom_character"" data.csv" Convert line endings to carriage return (^M) + line feed,"csvformat -M ""\r\n"" data.csv" Minimize use of quote characters,csvformat -U 0 data.csv Maximize use of quote characters,csvformat -U 1 data.csv Create a Kubernetes master node,kubeadm init Bootstrap a Kubernetes worker node and join it to a cluster,kubeadm join --token token Create a new bootstrap token with a TTL of 12 hours,kubeadm token create --ttl 12h0m0s Check if the Kubernetes cluster is upgradeable and which versions are available,kubeadm upgrade plan Upgrade Kubernetes cluster to a specified version,kubeadm upgrade apply version View the kubeadm ConfigMap containing the cluster's configuration,kubeadm config view Revert changes made to the host by 'kubeadm init' or 'kubeadm join',kubeadm reset List enabled Apache modules,sudo a2query -m Check if a specific module is installed,sudo a2query -m module_name List enabled virtual hosts,sudo a2query -s Display the currently enabled Multi Processing Module,sudo a2query -M Display Apache version,sudo a2query -v Print the generated or committed machine ID,systemd-machine-id-setup --print Specify an image policy,systemd-machine-id-setup --image-policy=your_policy Display the output as JSON,sudo systemd-machine-id-setup --json=pretty Operate on a disk image instead of a directory tree,systemd-machine-id-setup --image=/path/to/image "Unmount a filesystem, by passing the path to the source it is mounted from",umount path/to/device_file "Unmount a filesystem, by passing the path to the target where it is mounted",umount path/to/mounted_directory Unmount all mounted filesystems (except the `proc` filesystem),umount -a Launch the spreadsheet application,calligrasheets Open a specific spreadsheet,calligrasheets path/to/spreadsheet Display help or version,calligrasheets --help|version Execute the binary from a given `npm` module,pnpx module_name "Execute a specific binary from a given `npm` module, in case the module has multiple binaries",pnpx --package package_name module_name Display help,pnpx --help Read OCaml commands from the user and execute them,ocaml Read OCaml commands from a file and execute them,ocaml path/to/file.ml Run OCaml script with modules,ocaml module1 module2 path/to/file.ml Run a `pyATS` subcommand,pyats subcommand Display help,pyats --help Display help about a specific subcommand,pyats subcommand --help Display version,pyats version check Start runit's 3-stage init scheme,runit Shut down runit,kill --CONT runit_pid Open the homepage of the current repository in the default web browser,gh browse Open the homepage of a specific repository in the default web browser,gh browse owner/repository Open the settings page of the current repository in the default web browser,gh browse --settings Open the wiki of the current repository in the default web browser,gh browse --wiki Open a specific issue or pull request in the web browser,gh browse issue_number|pull_request_number Open a specific branch in the web browser,gh browse --branch branch_name Open a specific file or directory of the current repository in the web browser,gh browse path/to/file_or_directory Print the destination URL without open the web browser,gh browse --no-browser Initialize a running toolbox,toolbox init-container --gid gid --home home --home-link --media-link --mnt-link --monitor-host --shell shell --uid uid --user user "Display ext2, ext3 and ext4 filesystem information",dumpe2fs /dev/sdXN Display the blocks which are reserved as bad in the filesystem,dumpe2fs -b /dev/sdXN Force display filesystem information even with unrecognizable feature flags,dumpe2fs -f /dev/sdXN Only display the superblock information and not any of the block group descriptor detail information,dumpe2fs -h /dev/sdXN Print the detailed group information block numbers in hexadecimal format,dumpe2fs -x /dev/sdXN [e]valuate an expression,"bb -e ""(+ 1 2 3)""" Evaluate a script [f]ile,bb -f path/to/script.clj Bind [i]nput to a sequence of lines from `stdin`,"printf ""first\nsecond"" | bb -i ""(map clojure.string/capitalize *input*)""" Bind [I]nput to a sequence of EDN (Extensible Data Notation) values from `stdin`,"echo ""{:key 'val}"" | bb -I ""(:key (first *input*))""" Start a REPL (interactive shell),scheme Run a scheme program (with no REPL output),scheme --quiet < script.scm Load a scheme program into the REPL,scheme --load script.scm Load scheme expressions into the REPL,"scheme --eval ""(define foo 'x)""" Open the REPL in quiet mode,scheme --quiet Compile a lilypond file into a PDF,lilypond path/to/file Compile into the specified format,lilypond --formats=format_dump path/to/file "Compile the specified file, suppressing progress updates",lilypond -s path/to/file "Compile the specified file, and also specify the output filename",lilypond --output=path/to/output_file path/to/input_file Show the current version of lilypond,lilypond --version Display all information from YubiKey,ykinfo -a Get only serial in decimal from YubiKey,ykinfo -s -q Get capabilities from YubiKey,ykinfo -c Enable interface eth0,ifup eth0 "Enable all the interfaces defined with ""auto"" in `/etc/network/interfaces`",ifup -a Get list of all groups,getent group See the members of a group,getent group group_name Get list of all services,getent services Find a username by UID,getent passwd 1000 Perform a reverse DNS lookup,getent hosts host Display help about a specific Git subcommand,git help subcommand Display help about a specific Git subcommand in a web browser,git help --web subcommand Display a list of all available Git subcommands,git help --all List the available guides,git help --guide List all possible configuration variables,git help --config Start GIMP,gimp Open specific files,gimp path/to/image1 path/to/image2 ... Open specific files in a new window,gimp --new-instance path/to/image1 path/to/image2 ... Start without a splash screen,gimp --no-splash Print errors and warnings to the console instead of showing them in a dialog box,gimp --console-messages Enable debugging signal handlers,gimp --debug-handlers "Report I/O and transfer rate issued to physical devices, one per second (press CTRL+C to quit)",sar -b 1 "Report a total of 10 network device statistics, one per 2 seconds",sar -n DEV 2 10 "Report CPU utilization, one per 2 seconds",sar -u ALL 2 "Report a total of 20 memory utilization statistics, one per second",sar -r ALL 1 20 "Report the run queue length and load averages, one per second",sar -q 1 "Report paging statistics, one per 5 seconds",sar -B 5 Create a template out of a specific virtual machine,qm template vm_id Escape the given text,systemd-escape text Reverse the escaping process,systemd-escape --unescape text Treat the given text as a path,systemd-escape --path text Append the given suffix to the escaped text,systemd-escape --suffix suffix text Use a template and inject the escaped text,systemd-escape --template template text Enumerate hosts with NULL sessions enabled and open shares,smbmap --host-file path/to/file Enumerate hosts and check SMB file permissions,smbmap --host-file path/to/file -u username -p password -q Connect to an ip or hostname through smb using a username and password,smbmap -u username -p password -d domain -H ip_or_hostname "Locate and download files [R]ecursively up to N levels depth, searching for filename pattern (regex), and excluding certain shares",smbmap --host-file path/to/file -u username -p password -q -R --depth number --exclude sharename -A filepattern Upload file through smb using username and password,smbmap -u username -p password -d domain -H ip_or_hostname --upload path/to/file '/share_name/remote_filename' Log in by using an interactive prompt,ibmcloud login Log in to a specific API endpoint (default is `cloud.ibm.com`),ibmcloud login -a api_endpoint "Log in by providing username, password and the targeted region as parameters",ibmcloud login -u username -p password -r us-south "Log in with an API key, passing it as an argument",ibmcloud login --apikey api_key_string "Log in with an API key, passing it as a file",ibmcloud login --apikey @path/to/api_key_file Log in with a federated ID (single sign-on),ibmcloud login --sso Upgrade a container using the container's native package manager,distrobox-upgrade container_name Upgrade all containers using the container's native package managers,distrobox-upgrade --all Upgrade specific containers via the container's native package manager,distrobox-upgrade container1 container2 ... Show rpm-ostree deployments in the order they will appear in the bootloader,rpm-ostree status Show packages which are outdated and can be updated,rpm-ostree upgrade --preview Prepare a new ostree deployment with upgraded packages and reboot into it,rpm-ostree upgrade --reboot Reboot into the previous ostree deployment,rpm-ostree rollback --reboot Install a package into a new ostree deployment and reboot into it,rpm-ostree install package --reboot Exit with the exit status of the most recently executed command,exit Exit with a specific exit status,exit exit_code List all available products,eol Get EoLs of one or more products,eol product1 product2 ... Open the product webpage,eol product --web Get EoLs of a one or more products in a specific format,eol product1 product2 ... --format html|json|md|markdown|pretty|rst|csv|tsv|yaml Get EoLs of one or more products as a single markdown file,eol product1 product2 ... --format markdown > eol_report.md Display help,eol --help Discover directories and files that match in the wordlist,gobuster dir --url https://example.com/ --wordlist path/to/file Discover subdomains,gobuster dns --domain example.com --wordlist path/to/file Discover Amazon S3 buckets,gobuster s3 --wordlist path/to/file Discover other virtual hosts on the server,gobuster vhost --url https://example.com/ --wordlist path/to/file Fuzz the value of a parameter,gobuster fuzz --url https://example.com/?parameter=FUZZ --wordlist path/to/file Fuzz the name of a parameter,gobuster fuzz --url https://example.com/?FUZZ=value --wordlist path/to/file Configure the application token and the preferred workspace for Exercism,exercism configure --token=your-application-token --workspace=/path/to/preferred/workspace Download a specific exercise,exercism download --exercise=exercise_slug --track=track_slug Submit an exercise,exercism submit path/to/file Print the path to the solution workspace,exercism workspace Sort a file and keep the first line at the top,keep-header path/to/file -- sort "Output first line directly to `stdout`, passing the remainder of the file through the specified command",keep-header path/to/file -- command "Read from `stdin`, sorting all except the first line",cat path/to/file | keep-header -- command "Grep a file, keeping the first line regardless of the search pattern",keep-header path/to/file -- grep pattern Ping a host,ping6 host Ping a host only a specific number of times,ping6 -c count host "Ping a host, specifying the interval in seconds between requests (default is 1 second)",ping6 -i seconds host Ping a host without trying to lookup symbolic names for addresses,ping6 -n host Ping a host and ring the bell when a packet is received (if your terminal supports it),ping6 -a host Connect to server using a configuration file,sudo openvpn path/to/client.conf Try to set up an insecure peer-to-peer tunnel on bob.example.com host,sudo openvpn --remote alice.example.com --dev tun1 --ifconfig 10.4.0.1 10.4.0.2 Connect to the awaiting bob.example.com host without encryption,sudo openvpn --remote bob.example.com --dev tun1 --ifconfig 10.4.0.2 10.4.0.1 Create a cryptographic key and save it to file,openvpn --genkey secret path/to/key Try to set up a peer-to-peer tunnel on bob.example.com host with a static key,sudo openvpn --remote alice.example.com --dev tun1 --ifconfig 10.4.0.1 10.4.0.2 --secret path/to/key Connect to the awaiting bob.example.com host with the same static key as on bob.example.com,sudo openvpn --remote bob.example.com --dev tun1 --ifconfig 10.4.0.2 10.4.0.1 --secret path/to/key Create an open network with no passphrase,create_ap wlan0 eth0 access_point_ssid Use a WPA + WPA2 passphrase,create_ap wlan0 eth0 access_point_ssid passphrase Create an access point without Internet sharing,create_ap -n wlan0 access_point_ssid passphrase Create a bridged network with Internet sharing,create_ap -m bridge wlan0 eth0 access_point_ssid passphrase Create a bridged network with Internet sharing and a pre-configured bridge interface,create_ap -m bridge wlan0 br0 access_point_ssid passphrase Create an access port for Internet sharing from the same Wi-Fi interface,create_ap wlan0 wlan0 access_point_ssid passphrase Choose a different Wi-Fi adapter driver,create_ap --driver wifi_adapter wlan0 eth0 access_point_ssid passphrase Connect to a remote host,rpcclient --user domain\username%password ip Connect to a remote host on a domain without a password,rpcclient --user username --workgroup domain --no-pass ip "Connect to a remote host, passing the password hash",rpcclient --user domain\username --pw-nt-hash ip Execute shell commands on a remote host,rpcclient --user domain\username%password --command semicolon_separated_commands ip Display domain users,rpcclient $> enumdomusers Display privileges,rpcclient $> enumprivs Display information about a specific user,rpcclient $> queryuser username|rid Create a new user in the domain,rpcclient $> createdomuser username View documentation for the original command,tldr rg View documentation for the original command,tldr xz Configure for first use,notmuch setup Add a tag for all messages matching a search term,"notmuch tag +custom_tag ""search_term""" Remove a tag for all messages matching a search term,"notmuch tag -custom_tag ""search_term""" Count messages matching the given search term,"notmuch count --output=messages|threads ""search_term""" Search for messages matching the given search term,"notmuch search --format=json|text --output=summary|threads|messages|files|tags ""search_term""" Limit the number of search results to X,"notmuch search --format=json|text --output=summary|threads|messages|files|tags --limit=X ""search_term""" Create a reply template for a set of messages,"notmuch reply --format=default|headers-only --reply-to=sender|all ""search_term""" Print a table from JSON or JSON Lines input,cat file.json | jtbl Print a table and specify the column width for wrapping,cat file.json | jtbl --cols=width Print a table and truncate rows instead of wrapping,cat file.json | jtbl -t Print a table and don't wrap or truncate rows,cat file.json | jtbl -n Convert a MGR bitmap into a PBM file,mgrtopbm path/to/image.mgr > path/to/output.pbm "Go to a directory that contains ""foo"" in the name",z foo "Go to a directory that contains ""foo"" and then ""bar""",z foo bar "Go to the highest-ranked directory matching ""foo""",z -r foo "Go to the most recently accessed directory matching ""foo""",z -t foo "List all directories in `z`'s database matching ""foo""",z -l foo Remove the current directory from `z`'s database,z -x Restrict matches to subdirectories of the current directory,z -c foo List the instances in the specified state belonging to the specified compartment,VBoxManage cloud --provider=provider_name --profile=profile_name list instances --state=running|terminated|paused --compartment-id=compartment_id Create a new instance,VBoxManage cloud --provider=provider_name --profile=profile_name instance create --domain-name=domain_name --image-id=image_id | --options... Gather information about a particular instance,VBoxManage cloud --provider=provider_name --profile=profile_name instance info --id=unique_id Terminate an instance,VBoxManage cloud --provider=provider_name --profile=profile_name instance terminate --id=unique_id List images within a specific compartment and state,VBoxManage cloud --provider=provider_name --profile=profile_name list images --compartment-id=compartment_id --state=state_name Create a new image,VBoxManage cloud --provider=provider_name --profile=profile_name image create --instance-id=instance_id --display-name=display_name --compartment-id=compartment_id Retrieve information about a particular image,VBoxManage cloud --provider=provider_name --profile=profile_name image info --id=unique_id Delete an image,VBoxManage cloud --provider=provider_name --profile=profile_name image delete --id=unique_id List applications,argocd app list --output json|yaml|wide Get application details,argocd app get app_name --output json|yaml|wide Deploy application internally (to the same cluster that Argo CD is running in),argocd app create app_name --repo git_repo_url --path path/to/repo --dest-server https://kubernetes.default.svc --dest-namespace ns Delete an application,argocd app delete app_name Enable application auto-sync,argocd app set app_name --sync-policy auto --auto-prune --self-heal Preview app synchronization without affecting cluster,argocd app sync app_name --dry-run --prune Show application deployment history,argocd app history app_name --output wide|id Rollback application to a previous deployed version by history ID (deleting unexpected resources),argocd app rollback app_name history_id --prune Scan a network for NetBIOS names,nbtscan 192.168.0.1/24 Scan a single IP address,nbtscan 192.168.0.1 Display verbose output,nbtscan -v 192.168.0.1/24 Display output in `/etc/hosts` format,nbtscan -e 192.168.0.1/24 Read IP addresses/networks to scan from a file,nbtscan -f path/to/file.txt "Run a Bash command on file creation, update or deletion",fswatch path/to/file | xargs -n 1 bash_command Watch one or more files and/or directories,fswatch path/to/file path/to/directory path/to/another_directory/**/*.js | xargs -n 1 bash_command Print the absolute paths of the changed files,fswatch path/to/directory | xargs -n 1 -I {} echo {} Filter by event type,fswatch --event Updated|Deleted|Created path/to/directory | xargs -n 1 bash_command Add a new client device,sudo pivpn add List all client devices,sudo pivpn list List currently connected devices and their statistics,sudo pivpn clients Revoke a previously authenticated device,sudo pivpn revoke Uninstall PiVPN,sudo pivpn uninstall Play the given audio file,play path/to/audio_file Play the given audio files,play path/to/audio_file1 path/to/audio_file2 ... Play the given audio at twice the speed,play path/to/audio_file speed 2.0 Play the given audio in reverse,play path/to/audio_file reverse Switch to an existing branch,git switch branch_name Create a new branch and switch to it,git switch --create branch_name Create a new branch based on an existing commit and switch to it,git switch --create branch_name commit Switch to the previous branch,git switch - Switch to a branch and update all submodules to match,git switch --recurse-submodules branch_name Switch to a branch and automatically merge the current branch and any uncommitted changes into it,git switch --merge branch_name Reduce the specified image by the specified factor,pbmreduce N path/to/image.pbm > path/to/output.pbm Use simple thresholding when reducing,pbmreduce -threshold N path/to/image.pbm > path/to/output.pbm Use the specified threshold for all quantizations,pbmreduce -value 0.6 N path/to/image.pbm > path/to/output.pbm Generate a development server that will run at http://localhost:4000/,jekyll serve Enable incremental regeneration,jekyll serve --incremental Enable verbose output,jekyll serve --verbose Generate the current directory into `./_site`,jekyll build Clean the site (removes site output and `cache` directory) without building,jekyll clean "Create a unique name for the current commit (the name contains the most recent annotated tag, the number of additional commits, and the abbreviated commit hash)",git describe Create a name with 4 digits for the abbreviated commit hash,git describe --abbrev=4 Generate a name with the tag reference path,git describe --all Describe a Git tag,git describe v1.0.0 Create a name for the last commit of a given branch,git describe branch_name Combine the `/etc/shadow` and `/etc/passwd` of the current system,sudo unshadow /etc/passwd /etc/shadow Combine two arbitrary shadow and password files,sudo unshadow path/to/passwd path/to/shadow Run and generate a private key and certificate,agate --content path/to/content/ --addr [::]:1965 --addr 0.0.0.0:1965 --hostname example.com --lang en-US Run server,agate path/to/file Display help,agate -h Visit a website,links https://example.com Apply restrictions for anonymous account,links -anonymous https://example.com Enable Cookies (`1` to enable),links -enable-cookies 0|1 https://example.com Navigate forwards and backwards through the links on a page,Up arrow key|Down arrow key Go forwards and backwards one page,Left arrow key|Right arrow key Exit,q + y Enroll a new password (similar to `cryptsetup luksAddKey`),systemd-cryptenroll --password path/to/luks2_block_device Enroll a new recovery key (i.e. a randomly generated passphrase that can be used as a fallback),systemd-cryptenroll --recovery-key path/to/luks2_block_device "List available tokens, or enroll a new PKCS#11 token",systemd-cryptenroll --pkcs11-token-uri list|auto|pkcs11_token_uri path/to/luks2_block_device "List available FIDO2 devices, or enroll a new FIDO2 device (`auto` can be used as the device name when there is only one token plugged in)",systemd-cryptenroll --fido2-device list|auto|path/to/fido2_hidraw_device path/to/luks2_block_device Enroll a new FIDO2 device with user verification (biometrics),systemd-cryptenroll --fido2-device auto|path/to/fido2_hidraw_device --fido2-with-user-verification yes path/to/luks2_block_device "Unlock using a FIDO2 device, and enroll a new FIDO2 device",systemd-cryptenroll --unlock-fido2-device path/to/fido2_hidraw_unlock_device --fido2-device path/to/fido2_hidraw_enroll_device path/to/luks2_block_device Enroll a TPM2 security chip (only secure-boot-policy PCR) and require an additional alphanumeric PIN,systemd-cryptenroll --tpm2-device auto|path/to/tpm2_block_device --tpm2-with-pin yes path/to/luks2_block_device Remove all empty passwords/all passwords/all FIDO2 devices/all PKCS#11 tokens/all TPM2 security chips/all recovery keys/all methods,systemd-cryptenroll --wipe-slot empty|password|fido2|pkcs#11|tpm2|recovery|all path/to/luks2_block_device Put SELinux in enforcing mode,setenforce 1|Enforcing Put SELiunx in permissive mode,setenforce 0|Permissive Add constant network delay to outbound packages,tc qdisc add dev eth0 root netem delay delay_in_millisecondsms Add normal distributed network delay to outbound packages,tc qdisc add dev eth0 root netem delay mean_delay_msms delay_std_msms Add package corruption/loss/duplication to a portion of packages,tc qdisc add dev eth0 root netem corruption|loss|duplication effect_percentage% "Limit bandwidth, burst rate and max latency",tc qdisc add dev eth0 root tbf rate max_bandwidth_mbmbit burst max_burst_rate_kbkbit latency max_latency_before_drop_msms Show active traffic control policies,tc qdisc show dev eth0 Delete all traffic control rules,tc qdisc del dev eth0 Change traffic control rule,tc qdisc change dev eth0 root netem policy policy_parameters Gather information on a domain using Google,theHarvester --domain domain_name --source google Gather information on a domain using multiple sources,"theHarvester --domain domain_name --source duckduckgo,bing,crtsh" Change the limit of results to work with,theHarvester --domain domain_name --source google --limit 200 Save the output to two files in XML and HTML format,theHarvester --domain domain_name --source google --file output_file_name Display help,theHarvester --help Run a command once for each line of input data as arguments,arguments_source | xe command "Execute the commands, replacing any occurrence of the placeholder (marked as `{}`) with the input line",arguments_source | xe command {} optional_extra_arguments "Execute a shellscript, joining every `N` lines into a single call",echo -e 'a\nb' | xe -N2 -s 'echo $2 $1' Delete all files with a `.backup` extension,find . -name '*.backup' | xe rm -v "Run up to `max-jobs` processes in parallel; the default is 1. If `max-jobs` is 0, xe will run as many processes as cpu cores",arguments_source | xe -j max-jobs command Open a serial port using the specified baud rate,microcom --port path/to/serial_port --speed baud_rate Establish a telnet connection to the specified host,microcom --telnet hostname:port Delete a specific submodule,git delete-submodule path/to/submodule "Convert tabs in each file to spaces, writing to `stdout`",expand path/to/file "Convert tabs to spaces, reading from `stdin`",expand Do not convert tabs after non blanks,expand -i path/to/file "Have tabs a certain number of characters apart, not 8",expand -t number path/to/file Use a comma separated list of explicit tab positions,"expand -t 1,4,6" Convert a XWD image file to PBM,xwdtopnm path/to/input_file.xwd > path/to/output_file.pnm Display information about the conversion process,xwdtopnm -verbose path/to/input_file.xwd > path/to/output_file.pnm Display the contents of the X11 header of the input file,xwdtopnm -headerdump path/to/input_file.xwd > path/to/output_file.pnm Update the list of available slackbuilds and versions,slapt-src --update List all available slackbuilds,slapt-src --list "Fetch, build and install the specified slackbuild(s)",slapt-src --install slackbuild_name Locate slackbuilds by their name or description,slapt-src --search search_term Display information about a slackbuild,slapt-src --show slackbuild_name View documentation for the current command,tldr pambrighten Create a tag value,az tag add-value --name tag_name --value tag_value Create a tag in the subscription,az tag create --name tag_name Delete a tag from the subscription,az tag delete --name tag_name List all tags on a subscription,az tag list --resource-id /subscriptions/subscription_id Delete a tag value for a specific tag name,az tag remove-value --name tag_name --value tag_value "Display a diff of the changes that would be made, without making them (dry-run)",yapf --diff path/to/file Format the file in-place and display a diff of the changes,yapf --diff --in-place path/to/file "Recursively format all Python files in a directory, concurrently",yapf --recursive --in-place --style pep8 --parallel path/to/directory "Start a wizard to choose what kind of code (e.g. module, service, form, etc.) to generate",dcg Directly specify the kind of code to generate,dcg service|plugin|theme|module|form Generate the code in a specific directory,dcg --directory path/to/directory Resolve a hostname to an IP address,resolveip example.org Resolve an IP address to a hostname,resolveip 1.1.1.1 Silent mode. Produces less output,resolveip --silent example.org Compress a file with default options,pigz path/to/file Compress a file using the best compression method,pigz -9 path/to/file Compress a file using no compression and 4 processors,pigz -0 -p4 path/to/file Compress a directory using tar,tar cf - path/to/directory | pigz > path/to/file.tar.gz Decompress a file,pigz -d archive.gz List the contents of an archive,pigz -l archive.tar.gz Connect to a remote computer (default port is 3389),rdesktop -u username -p password host:port Simple Examples,rdesktop -u Administrator -p passwd123 192.168.1.111:3389 Connect to a remote computer with full screen (press `Ctrl + Alt + Enter` to exist),rdesktop -u username -p password -f host:port Use the customed resolution (use the letter 'x' between the number),rdesktop -u username -p password -g 1366x768 host:port Connect to a remote computer using domain user,rdesktop -u username -p password -d domainname host:port Use the 16-bit color (speed up),rdesktop -u username -p password -a 16 host:port Redirect `stdout` to a file,command > path/to/file Append to a file,command >> path/to/file Redirect both `stdout` and `stderr` to a file,command &> path/to/file Redirect both `stdout` and `stderr` to `/dev/null` to keep the terminal output clean,command &> /dev/null Clear the file contents or create a new empty file,> path/to/file Initialize `kdesrc-build`,kdesrc-build --initial-setup Compile a KDE component and its dependencies from source,kdesrc-build component_name Compile a component without updating its local code and without compiling its dependencies,kdesrc-build --no-src --no-include-dependencies component_name Refresh the build directories before compiling,kdesrc-build --refresh-build component_name Resume compilation from a specific dependency,kdesrc-build --resume-from=dependency_component component_name Run a component with a specified executable name,kdesrc-build --run --exec executable_name component_name Build all configured components,kdesrc-build Use system libraries in place of a component if it fails to build,kdesrc-build --no-stop-on-failure component_name List available modems,mmcli --list-modems Print information about a modem,mmcli --modem=modem Enable a modem,mmcli --modem=modem --enable List SMS messages available on the modem,sudo mmcli --modem=modem --messaging-list-sms "Delete a message from the modem, specifying its path",sudo mmcli --modem=modem --messaging-delete-sms=path/to/message_file Add an item to DID section,laydown did item Add an item to DOING section,laydown doing item Clear all items,laydown clear Use an editor to edit current data,laydown edit Archive and clear current data,laydown archive Initialize or mount an encrypted filesystem,encfs /path/to/cipher_dir /path/to/mount_point Initialize an encrypted filesystem with standard settings,encfs --standard /path/to/cipher_dir /path/to/mount_point Run encfs in the foreground instead of spawning a daemon,encfs -f /path/to/cipher_dir /path/to/mount_point Mount an encrypted snapshot of a plain directory,encfs --reverse path/to/plain_dir path/to/cipher_dir Launch a Git daemon with a whitelisted set of directories,git daemon --export-all path/to/directory1 path/to/directory2 Launch a Git daemon with a specific base directory and allow pulling from all sub-directories that look like Git repositories,git daemon --base-path=path/to/directory --export-all --reuseaddr "Launch a Git daemon for the specified directory, verbosely printing log messages and allowing Git clients to write to it",git daemon path/to/directory --enable=receive-pack --informative-errors --verbose Start the interactive shell,irb Open a file,vim path/to/file Open a file at a specified line number,vim +line_number path/to/file View Vim's help manual,:help Save and quit the current buffer,ZZ|:x|:wq Enter normal mode and undo the last operation,u Search for a pattern in the file (press `n`/`N` to go to next/previous match),/search_pattern Perform a regular expression substitution in the whole file,:%s/regular_expression/replacement/g Display the line numbers,:set nu Convert a PPM file to an HP LaserJet PCL 5 Color file,ppmtolj path/to/input.ppm > path/to/output.lj Apply a gamma correction using the specified gamma value,ppmtolj -gamma gamma path/to/input.ppm > path/to/output.lj Specify the required resolution,ppmtolj -resolution 75|100|150|300|600 path/to/input.ppm > path/to/output.lj Create a new gleam project,gleam new project_name Build and run a gleam project,gleam run Build the project,gleam build Run a project for a particular platform and runtime,gleam run --target platform --runtime runtime Add a hex dependency to your project,gleam add dependency_name Run project tests,gleam test Format source code,gleam format Type check the project,gleam check Restore the `iptables` configuration from a file,sudo iptables-restore path/to/file Run a command inside a specific `toolbox` container,toolbox run --container container_name command Run a command inside a `toolbox` container for a specific release of a distribution,toolbox run --distro distribution --release release command Run `emacs` inside a `toolbox` container using the default image for Fedora 39,toolbox run --distro fedora --release f39 emacs List files one per line,exa --oneline "List all files, including hidden files",exa --all "Long format list (permissions, ownership, size and modification date) of all files",exa --long --all List files with the largest at the top,exa --reverse --sort=size "Display a tree of files, three levels deep",exa --long --tree --level=3 List files sorted by modification date (oldest first),exa --long --sort=modified "List files with their headers, icons, and Git statuses",exa --long --header --icons --git Don't list files mentioned in `.gitignore`,exa --git-ignore Lookup errno description by name or code,errno name|code "List all errno names, codes, and descriptions",errno --list Search for code whose description contains all of the given text,errno --search text Search for code whose description contains all of the given text (all locales),errno --search-all-locales text Create a new Lumen application,lumen new application_name List the available installer commands,lumen list List all VirtualBox virtual machines,VBoxManage list vms Show DHCP servers available on the host system,VBoxManage list dhcpservers Show Oracle VM VirtualBox extension packs currently installed,VBoxManage list extpacks Show all virtual machine groups,VBoxManage list groups Show virtual disk settings that are currently in use by VirtualBox,VBoxManage list hdds Show host-only network interfaces available on host system,VBoxManage list hostonlyifs Show the list of currently running virtual machines,VBoxManage list runningvms Show host system information,VBoxManage list hostinfo Start an interactive shell session,csh Start an interactive shell session without loading startup configs,csh -f Execute specific [c]ommands,"csh -c ""echo 'csh is executed'""" Execute a specific script,csh path/to/script.csh Print the uncompressed contents of a `gzip` archive to `stdout`,zcat file.txt.gz Print compression details of a `gzip` archive to `stdout`,zcat -l file.txt.gz Open a new terminal,kitty Open a terminal with the specified title for the window,"kitty --title ""title""" Start the theme-chooser builtin,kitty +kitten themes Display an image in the terminal,kitty +kitten icat path/to/image Copy the contents of `stdin` to the clipboard,echo example | kitty +kitten clipboard Create a helm chart,helm create chart_name Add a new helm repository,helm repo add repository_name List helm repositories,helm repo list Update helm repositories,helm repo update Delete a helm repository,helm repo remove repository_name Install a helm chart,helm install name repository_name/chart_name Download helm chart as a tar archive,helm get chart_release_name Update helm dependencies,helm dependency update Display the section headers of a given binary,wasm-objdump -h file.wasm Display the entire disassembled output of a given binary,wasm-objdump -d file.wasm Display the details of each section,wasm-objdump --details file.wasm Display the details of a given section,wasm-objdump --section 'import' --details file.wasm Start the storage pool specified by name or UUID (determine using `virsh pool-list`) and create the underlying storage system if it doesn't exist,virsh pool-start --pool name|uuid --build Trim some whitespace using a regular expression (output stream: `stdout`),echo 'lorem ipsum 23 ' | sd '\s+$' '' Replace words using capture groups (output stream: `stdout`),"echo 'cargo +nightly watch' | sd '(\w+)\s+\+(\w+)\s+(\w+)' 'cmd: $1, channel: $2, subcmd: $3'" Find and replace in a specific file (output stream: `stdout`),sd -p 'window.fetch' 'fetch' path/to/file.js Find and replace in all files in the current project (output stream: `stdout`),"sd 'from ""react""' 'from ""preact""' ""$(find . -type f)""" Display the current filesystem label,exfatlabel /dev/sda Set the filesystem label,exfatlabel /dev/sda new_label Translate IP addresses (IPv4 and IPv6) to the corresponding ARPA name,arpaname ip_address Start a query TUI to search files in the current directory recursively (CTRL-Z for help),ugrep --query Search the current directory recursively for files containing a regex search pattern,"ugrep ""search_pattern""" "Search in a specific file or in all files in a specific directory, showing line numbers of matches","ugrep --line-number ""search_pattern"" path/to/file_or_directory" Search in all files in the current directory recursively and print the name of each matching file,"ugrep --files-with-matches ""search_pattern""" "Fuzzy search files with up to 3 extra, missing or mismatching characters in the pattern","ugrep --fuzzy=3 ""search_pattern""" "Also search compressed files, Zip and tar archives recursively","ugrep --decompress ""search_pattern""" Search only files whose filenames match a specific glob pattern,"ugrep --glob=""glob_pattern"" ""search_pattern""" Search only C++ source files (use `--file-type=list` to list all file types),"ugrep --file-type=cpp ""search_pattern""" Start an interactive Lua shell,lua Execute a Lua script,lua path/to/script.lua --optional-argument Execute a Lua expression,"lua -e 'print(""Hello World"")'" Build all targets,dune build Clean up the workspace,dune clean Run all tests,dune runtest "Start the utop REPL with compiled modules automatically loaded into it, to remove the need to load them by hand",dune utop Start a listener on the specified TCP port and send a file into it,nc -l -p port < filename Connect to a target listener on the specified port and receive a file from it,nc host port > received_filename Scan the open TCP ports of a specified host,nc -v -z -w timeout_in_seconds host start_port-end_port Start a listener on the specified TCP port and provide your local shell access to the connected party (this is dangerous and can be abused),nc -l -p port -e shell_executable Connect to a target listener and provide your local shell access to the remote party (this is dangerous and can be abused),nc host port -e shell_executable Act as a proxy and forward data from a local TCP port to the given remote host,nc -l -p local_port | nc host remote_port Send an HTTP GET request,"echo -e ""GET / HTTP/1.1\nHost: host\n\n"" | nc host 80" Inspect a remote image from a registry,skopeo inspect docker://registry_hostname/image:tag List available tags for a remote image,skopeo list-tags docker://registry_hostname/image Download an image from a registry,skopeo copy docker://registry_hostname/image:tag dir:path/to/directory Copy an image from one registry to another,skopeo copy docker://source_registry/image:tag docker://destination_registry/image:tag Delete an image from a registry,skopeo delete docker://registry_hostname/image:tag Log in to a registry,skopeo login --username username registry_hostname Print all nodes (sinks and sources) along with their IDs,pw-cli list-objects Node Print information about an object with a specific ID,pw-cli info 4 Print all objects' information,pw-cli info all Clean capture and save only the 4-way handshake and a beacon in the result,wpaclean path/to/result.cap path/to/capture.cap Clean multiple captures and save 4-way handshakes and beacons in the result,wpaclean path/to/result.cap path/to/capture1.cap path/to/capture2.cap ... Remove all unused formulae,brew autoremove "Print what would be removed, but don't actually remove anything",brew autoremove --dry-run Change user's primary group membership,newgrp group_name Reset primary group membership to user's default group in `/etc/passwd`,newgrp Open a new terminal window,xfce4-terminal Set the initial title,"xfce4-terminal --initial-title ""initial_title""" Open a new tab in the current terminal window,xfce4-terminal --tab Execute a command in a new terminal window,"xfce4-terminal --command ""command_with_args""" Keep the terminal around after the executed command finishes executing,"xfce4-terminal --command ""command_with_args"" --hold" "Open multiple new tabs, executing a command in each","xfce4-terminal --tab --command ""command1"" --tab --command ""command2""" Print a variable,echo $VARIABLE Print the exit status of the previous command,echo $? Print a random number between 0 and 32767,echo $RANDOM Print one of the prompt strings,echo $PS1|PS2|PS3|PS4 Expand with the output of `command` and run it. Same as enclosing `command` in backtics,$(command) Run an nginx pod and expose port 80,kubectl run nginx-dev --image=nginx --port 80 "Run an nginx pod, setting the TEST_VAR environment variable","kubectl run nginx-dev --image=nginx --env=""TEST_VAR=testing""" Show API calls that would be made to create an nginx container,kubectl run nginx-dev --image=nginx --dry-run=none|server|client "Run an Ubuntu pod interactively, never restart it, and remove it when it exits",kubectl run temp-ubuntu --image=ubuntu:22.04 --restart=Never --rm -- /bin/bash "Run an Ubuntu pod, overriding the default command with echo, and specifying custom arguments",kubectl run temp-ubuntu --image=ubuntu:22.04 --command -- echo argument1 argument2 ... Start the Mixxx GUI in fullscreen,mixxx --fullScreen Start in safe developer mode to debug a crash,mixxx --developer --safeMode Debug a malfunction,mixxx --debugAssertBreak --developer --loglevel trace Start Mixxx using the specified settings file,mixxx --resourcePath mixxx/res/controllers --settingsPath path/to/settings-file Debug a custom controller mapping,mixxx --controllerDebug --resourcePath path/to/mapping-directory Display help,mixxx --help Display information about all CPUs,lscpu Display information in a table,lscpu --extended Display only information about offline CPUs in a table,lscpu --extended --offline Get a SUI coin from the faucet associated with the active network,sui client faucet Get a SUI coin for the address (accepts also an alias),sui client faucet --address address Get a SUI coin from custom faucet,sui client faucet --url custom-faucet-url "Invoke `moro` without parameters, to set the current time as the start of the working day",moro Specify a custom time for the start of the working day,moro hi 09:30 "Invoke `moro` without parameters a second time, to set the current time at the end of the working day",moro Specify a custom time for the end of the working day,moro bye 17:30 Add a note on the current working day,moro note 3 hours on project Foo Show a report of time logs and notes for the current working day,moro report Show a report of time logs and notes for all working days on record,moro report --all Grant a user access to a resource,pio access grant guest|maintainer|admin username resource_urn Remove a user's access to a resource,pio access revoke username resource_urn Show all resources that a user or team has access to and the access level,pio access list username Restrict access to a resource to specific users or team members,pio access private resource_urn Allow all users access to a resource,pio access public resource_urn Describe the specified Netpbm files,pamfile path/to/file1 path/to/file2 ... Describe every image in each input file (as opposed to only the first image in each file) in a machine-readable format,pamfile -allimages -machine path/to/file Display a count on how many images the input files contain,pamfile -count path/to/file Compose action can be used to compose any existing file or new on default mailcap edit tool,compose filename With `run-mailcap`,run-mailcap --action=compose filename Create a file holding the contents of the blob specified by its ID then print the name of the temporary file,git unpack-file blob_id Start the commit wizard,gitmoji --commit Initialize the Git hook (so `gitmoji` will be run every time `git commit` is run),gitmoji --init Remove the Git hook,gitmoji --remove List all available emojis and their descriptions,gitmoji --list Search emoji list for a list of keywords,gitmoji --search keyword1 keyword2 Update cached list of emojis from main repository,gitmoji --update Configure global preferences,gitmoji --config Add a new version for a non-maintainer upload to the changelog,debchange --nmu Add a changelog entry to the current version,debchange --append Add a changelog entry to close the bug with specified ID,debchange --closes bug_id Print PDF file information,pdfinfo path/to/file.pdf Specify user password for PDF file to bypass security restrictions,pdfinfo -upw password path/to/file.pdf Specify owner password for PDF file to bypass security restrictions,pdfinfo -opw password path/to/file.pdf Run the default binary target,cargo run Run the specified binary,cargo run --bin name Run the specified example,cargo run --example name Activate a space or comma separated list of features,cargo run --features feature1 feature2 ... Disable the default features,cargo run --no-default-features Activate all available features,cargo run --all-features Run with the given profile,cargo run --profile name Install a specific application,cs install application_name Install a specific version of an application,cs install application_name:application_version Search an application by a specific name,cs search application_partial_name Update a specific application if available,cs update application_name Update all the installed applications,cs update Uninstall a specific application,cs uninstall application_name List all installed applications,cs list Pass specific Java options to an installed application,application_name -Jjava_option_name1=value1 -Jjava_option_name2=value2 ... Display a camera preview stream for a specific amount of time (in milliseconds),rpicam-hello -t time Tune the configuration for a particular camera sensor,rpicam-hello --tuning-file /usr/share/libcamera/ipa/rpi/path/to/config.json Reformat a file,fmt path/to/file Reformat a file producing output lines of (at most) `n` characters,fmt -w n path/to/file Reformat a file without joining lines shorter than the given width together,fmt -s path/to/file Reformat a file with uniform spacing (1 space between words and 2 spaces between paragraphs),fmt -u path/to/file Enter an interactive database session,nxcdb Display the currently active workspace,nxcdb --get-workspace Create a new workspace,nxcdb --create-workspace workspace_name Activate the specified workspace,nxcdb --set-workspace workspace_name List all available zfs filesystems,zfs list Create a new ZFS filesystem,zfs create pool_name/filesystem_name Delete a ZFS filesystem,zfs destroy pool_name/filesystem_name Create a Snapshot of a ZFS filesystem,zfs snapshot pool_name/filesystem_name@snapshot_name Enable compression on a filesystem,zfs set compression=on pool_name/filesystem_name Change mountpoint for a filesystem,zfs set mountpoint=/my/mount/path pool_name/filesystem_name "Brute-force a password with a length of 4 to 8 characters, and contains only alphanumeric characters (order matters)",fcrackzip --brute-force --length 4-8 --charset aA1 archive "Brute-force a password in verbose mode with a length of 3 characters that only contains lowercase characters, `$` and `%`",fcrackzip -v --brute-force --length 3 --charset a:$% archive Brute-force a password that contains only lowercase and special characters,fcrackzip --brute-force --length 4 --charset a! archive "Brute-force a password containing only digits, starting from the password `12345`",fcrackzip --brute-force --length 5 --charset 1 --init-password 12345 archive Crack a password using a wordlist,fcrackzip --use-unzip --dictionary --init-password wordlist archive Benchmark cracking performance,fcrackzip --benchmark Convert a SIR image to a PNM file,sirtopnm path/to/input.sir > path/to/output.pnm Create the configuration file for a storage pool called pool_name using `/var/vms` as the underlying storage system,virsh pool-define-as --name pool_name --type dir --target /var/vms Start with nix expression in `shell.nix` or `default.nix` in the current directory,nix-shell Run shell command in non-interactive shell and exit,"nix-shell --run ""command argument1 argument2 ...""" Start with expression in `default.nix` in the current directory,nix-shell default.nix Start with packages loaded from nixpkgs,nix-shell --packages package1 package2 ... Start with packages loaded from specific nixpkgs revision,nix-shell --packages package1 package2 ... -I nixpkgs=https://github.com/NixOS/nixpkgs/archive/nixpkgs_revision.tar.gz "Evaluate rest of file in specific interpreter, for use in `#!-scripts` (see )",nix-shell -i interpreter --packages package1 package2 ... List devices,adb devices List devices and their system info,adb devices -l Browse for both SSH and VNC servers,bshell Browse for SSH servers only,bshell --ssh Browse for VNC servers only,bshell --vnc Browse for both SSH and VNC servers in a specified domain,bshell --domain domain Declare a string variable with the specified value,"typeset variable=""value""" Declare an integer variable with the specified value,"typeset -i variable=""value""" Declare an array variable with the specified value,typeset variable=(item_a item_b item_c) Declare an associative array variable with the specified value,typeset -A variable=([key_a]=item_a [key_b]=item_b [key_c]=item_c) Declare a readonly variable with the specified value,"typeset -r variable=""value""" Declare a global variable within a function with the specified value,"typeset -g variable=""value""" Return the current VMware software version (exit status determines whether the system is a VM or not),vmware-checkvm Return the VMware hardware version,vmware-checkvm -h Download a torrent,deluge url|magnet|path/to/file Download a torrent using a specific configuration file,deluge -c path/to/configuration_file url|magnet|path/to/file Download a torrent and launch the specified user interface,deluge -u gtk|web|console url|magnet|path/to/file Download a torrent and output the log to a file,deluge -l path/to/log_file url|magnet|path/to/file Generate a PPM image file as output for an Interleaf image file as input,leaftoppm path/to/file.pl Display version,leaftoppm -version Execute bootstrap executable,yadm bootstrap Remove files or directories from the staging area,hg remove path/to/file Remove all staged files matching a specified pattern,hg remove --include pattern "Remove all staged files, excluding those that match a specified pattern",hg remove --exclude pattern Recursively remove sub-repositories,hg remove --subrepos Remove files from the repository that have been physically removed,hg remove --after Compile a DVI (Device Independent file) document from every source,latexmk Compile a DVI document from a specific source file,latexmk path/to/source.tex Compile a PDF document,latexmk -pdf path/to/source.tex Open the document in a viewer and continuously update it whenever source files change,latexmk -pvc path/to/source.tex Force the generation of a document even if there are errors,latexmk -f path/to/source.tex Clean up temporary TEX files created for a specific TEX file,latexmk -c path/to/source.tex Clean up all temporary TEX files in the current directory,latexmk -c Mount an archive to a specific mountpoint,archivemount path/to/archive path/to/mount_point Display the neighbour/ARP table entries,ip neighbour Remove entries in the neighbour table on device `eth0`,sudo ip neighbour flush dev eth0 Perform a neighbour lookup and return a neighbour entry,ip neighbour get lookup_ip dev eth0 Add or delete an ARP entry for the neighbour IP address to `eth0`,sudo ip neighbour add|del ip_address lladdr mac_address dev eth0 nud reachable Change or replace an ARP entry for the neighbour IP address to `eth0`,sudo ip neighbour change|replace ip_address lladdr new_mac_address dev eth0 Create a new Move project in the given folder,sui move new project_name Test the Move project in the current directory,sui move test Test with coverage and get a summary,sui move test --coverage; sui move coverage summary Find which parts of your code are covered from tests (i.e. explain coverage results),sui move coverage source --module module_name Build the Move project in the current directory,sui move build Build the Move project from the given path,sui move build --path path Migrate to Move 2024 for the package at the provided path,sui move migrate path "Generate a string of three random phrases containing an adjective, a past tense verb and a plural noun",buzzphrase Print a phrase formatted as [i]mperative verb + past tense [v]erb + [a]djective + plural [N]oun,buzzphrase '{i} {v} {a} {N}' Print `k` phrases formatted as present participle [V]erb + [a]djective + singular [n]oun + [f]inal,buzzphrase k '{V} {a} {n} {f}' Display syscall number of a specific system call,ausyscall search_pattern Display name of a specific system call number,ausyscall system_call_number Display all system calls for a specific architecture,ausyscall architecture --dump Update debtap database (before the first run),sudo debtap --update Convert the specified package,debtap path/to/package.deb "Convert the specified package bypassing all questions, except for editing metadata files",debtap --quiet path/to/package.deb Generate a PKGBUILD file,debtap --pkgbuild path/to/package.deb Create local alias for director in a specific [e]nvironment,bosh alias-env environment_name -e ip_address|URL --ca-cert ca_certificate List environments,bosh environments Log in to the director,bosh login -e environment List deployments,bosh -e environment deployments List environment virtual machines in a [d]eployment,bosh -e environment vms -d deployment SSH into virtual machine,bosh -e environment ssh virtual_machine -d deployment Upload stemcell,bosh -e environment upload-stemcell stemcell_file|url Show current cloud config,bosh -e environment cloud-config Show all DMI table contents,sudo dmidecode Show the BIOS version,sudo dmidecode -s bios-version Show the system's serial number,sudo dmidecode -s system-serial-number Show BIOS information,sudo dmidecode -t bios Show CPU information,sudo dmidecode -t processor Show memory information,sudo dmidecode -t memory Print a formatted version of a shell script,shfmt path/to/file List unformatted files,shfmt --list path/to/directory Write the result to the file instead of printing it to the terminal,shfmt --write path/to/file "Simplify the code, removing redundant pieces of syntax (i.e. removing ""$"" from vars in expressions)",shfmt --simplify path/to/file Display the time,cacaclock Change the font,cacaclock -f font Change the format using an `strftime` format specification,cacaclock -d strftime_arguments Check the current system clock time,timedatectl Set the local time of the system clock directly,"timedatectl set-time ""yyyy-MM-dd hh:mm:ss""" List available timezones,timedatectl list-timezones Set the system timezone,timedatectl set-timezone timezone Enable Network Time Protocol (NTP) synchronization,timedatectl set-ntp on Change the hardware clock time standard to localtime,timedatectl set-local-rtc 1 List the tags,crane ls repository Print the full image reference,crane ls repository --full-ref Omit digest tags,crane ls -o|--omit-digest-tags Display help,crane ls -h|--help Perform a highstate on this minion,salt-call state.highstate "Perform a highstate dry-run, compute all changes but don't actually perform them",salt-call state.highstate test=true Perform a highstate with verbose debugging output,salt-call -l debug state.highstate List this minion's grains,salt-call grains.items List partitions,sudo fdisk -l Start the partition manipulator,sudo fdisk /dev/sdX "Once partitioning a disk, create a partition",n "Once partitioning a disk, select a partition to delete",d "Once partitioning a disk, view the partition table",p "Once partitioning a disk, write the changes made",w "Once partitioning a disk, discard the changes made",q "Once partitioning a disk, open a help menu",m Log in to a Bitwarden user account,bw login Log out of a Bitwarden user account,bw logout Search and display items from Bitwarden vault,bw list items --search github Display a particular item from Bitwarden vault,bw get item github Create a folder in Bitwarden vault,"echo -n '{""name"":""My Folder1""}' | base64 | bw create folder" Display version,crane version Display help,crane version -h|--help Update all components to the latest version,gcloud components update Update all components to a specific version,gcloud components update --version=1.2.3 Update components without confirmation (useful for automation scripts),gcloud components update --quiet Move specific files to the trash bin,gio trash path/to/file_or_directory1 path/to/file_or_directory2 ... List trash bin items,gio trash --list Restore a specific item from trash using its ID,gio trash trash://id List the target name and source path of the block devices,virsh domblklist --domain vm_name List the disk type and device value as well as the target name and source path,virsh domblklist --domain vm_name --details Execute a `crane` subcommand,crane subcommand Allow pushing non-distributable (foreign) layers,crane --allow-nondistributable-artifacts subcommand Allow image references to be fetched without TLS,crane --insecure subcommand Specify the platform in the form os/arch{{/variant}}{{:osversion}} (e.g. linux/amd64). (default all),crane --platform platform subcommand Enable debug logs for a subcommand,crane -v|--verbose subcommand Display help for a subcommand,crane -h|--help subcommand Run tests from specific files,pytest path/to/test_file1.py path/to/test_file2.py ... Run tests with names matching a specific [k]eyword expression,pytest -k expression Exit as soon as a test fails or encounters an error,pytest --exitfirst Run tests matching or excluding markers,pytest -m marker_name1 and not marker_name2 "Run until a test failure, continuing from the last failing test",pytest --stepwise Run tests without capturing output,pytest --capture=no Compress a file,zlib-flate -compress < path/to/input_file > path/to/compressed.zlib Uncompress a file,zlib-flate -uncompress < path/to/compressed.zlib > path/to/output_file "Compress a file with a specified compression level. 0=Fastest (Worst), 9=Slowest (Best)",zlib-flate -compress=compression_level < path/to/input_file > path/to/compressed.zlib Open the project in the current directory using the correct Unity version,u3d List installed versions of Unity,u3d list List available versions of Unity that can be downloaded,u3d available Download and install latest stable Unity version,u3d install latest_stable Download and install Unity version and editor [p]ackages,"u3d install 2021.2.0f1 -p Unity,iOS,Android" Display the size of an ISO file,isosize path/to/file.iso Display the block count and block size of an ISO file,isosize --sectors path/to/file.iso Display the size of an ISO file divided by a given number (only usable when --sectors is not given),isosize --divisor=number path/to/file.iso "Log in to your LastPass account, by entering your master password when prompted",lpass login username Show login status,lpass status List all sites grouped by category,lpass ls Generate a new password for gmail.com with the identifier `myinbox` and add to LastPass,lpass generate --username username --url gmail.com myinbox password_length Show password for a specified entry,lpass show myinbox --password Print file with author name and commit hash on each line,git blame path/to/file Print file with author email and commit hash on each line,git blame -e|--show-email path/to/file Print file with author name and commit hash on each line at a specific commit,git blame commit path/to/file Print file with author name and commit hash on each line before a specific commit,git blame commit~ path/to/file Scan a binary file,binwalk path/to/binary "Extract files from a binary, specifying the output directory",binwalk --extract --directory output_directory path/to/binary Recursively extract files from a binary limiting the recursion depth to 2,binwalk --extract --matryoshka --depth 2 path/to/binary Extract files from a binary with the specified file signature,binwalk --dd 'png image:png' path/to/binary "Analyze the entropy of a binary, saving the plot with the same name as the binary and `.png` extension appended",binwalk --entropy --save path/to/binary "Combine entropy, signature and opcodes analysis in a single command",binwalk --entropy --signature --opcodes path/to/binary Open a new GNOME terminal window,gnome-terminal Run a specific command in a new terminal window,gnome-terminal -- command Open a new tab in the last opened window instead,gnome-terminal --tab Set the title of the new tab,"gnome-terminal --tab --title ""title""" List all Podman images,podman images List all Podman images including intermediates,podman images --all List the output in quiet mode (only numeric IDs),podman images --quiet List all Podman images not used by any container,podman images --filter dangling=true List images that contain a substring in their name,"podman images ""*image|image*""" Display general information about the FIDO2 application,ykman fido info Change the FIDO pin,ykman fido access change-pin List resident credentials stored on the YubiKey,ykman fido credentials list Delete a resident credential from the YubiKey,ykman fido credentials delete id List fingerprints stored on the YubiKey (requires a key with a fingerprint sensor),ykman fido fingerprints list Add a new fingerprint to the YubiKey,ykman fido fingerprints add name Delete a fingerprint from the YubiKey,ykman fido fingerprints delete name Wipe all FIDO credentials (you have to do this after exceeding the number of PIN retry attempts),ykman fido reset List all virtual machines,qm list List all virtual machines with a full status about the ones which are currently running,qm list --full 1 "Profile the default instance, logging to `profile.log` (`gnuplot` files and a HTML file for result visualizing are also generated)",pw-profiler Change the log output file,pw-profiler --output path/to/file.log Profile a remote instance,pw-profiler --remote remote_name Display help,pw-profiler --help Calculate the BLAKE2 checksum for one or more files,b2sum path/to/file1 path/to/file2 ... Calculate and save the list of BLAKE2 checksums to a file,b2sum path/to/file1 path/to/file2 ... > path/to/file.b2 Calculate a BLAKE2 checksum from `stdin`,command | b2sum Read a file of BLAKE2 sums and filenames and verify all files have matching checksums,b2sum --check path/to/file.b2 Only show a message for missing files or when verification fails,b2sum --check --quiet path/to/file.b2 "Only show a message when verification fails, ignoring missing files",b2sum --ignore-missing --check --quiet path/to/file.b2 Get all attributes and their values supported by a printer,ipptool ipp://printer_uri get-completed-jobs.test Get the list of completed jobs of a printer,ipptool ipp://printer_uri get-completed-jobs.test Send an email notification when a printer changes,ipptool -d recipient=mailto:email ipp://printer_uri create-printer-subscription.test Initialize a repository of the files in `$PWD` with metadata in `$PWD/path/to/repo`,ostree init --repo path/to/repo Create a commit (snapshot) of the files,ostree commit --repo path/to/repo --branch branch_name Show files in commit,ostree ls --repo path/to/repo commit_id Show metadata of commit,ostree show --repo path/to/repo commit_id Show list of commits,ostree log --repo path/to/repo branch_name Show repo summary,ostree summary --repo path/to/repo --view Show available refs (branches),ostree refs --repo path/to/repo View documentation for the command under its current name,tldr pcdovtoppm Compress a specific PNG as much as possible and write result to a new file,pngquant path/to/file.png Compress a specific PNG and override original,pngquant --ext .png --force path/to/file.png Try to compress a specific PNG with custom quality (skip if below the min value),pngquant --quality 0-100 path/to/file.png Compress a specific PNG with the number of colors reduced to 64,pngquant 64 path/to/file.png Compress a specific PNG and skip if the file is larger than the original,pngquant --skip-if-larger path/to/file.png Compress a specific PNG and remove metadata,pngquant --strip path/to/file.png Compress a specific PNG and save it to the given path,pngquant path/to/file.png --output path/to/file.png Compress a specific PNG and show progress,pngquant --verbose path/to/file.png "Display current user's ID (UID), group ID (GID) and groups to which they belong",id Display the current user identity,id -un Display the current user identity as a number,id -u Display the current primary group identity,id -gn Display the current primary group identity as a number,id -g "Display an arbitrary user's ID (UID), group ID (GID) and groups to which they belong",id username Convert a PPM image to DEC sixel format,ppmtosixel path/to/file.ppm > path/to/file.sixel Produce an uncompressed SIXEL file that is much slower to print,ppmtosixel -raw path/to/file.ppm > path/to/file.sixel Add a left margin of 1.5 inches,ppmtosixel -margin path/to/file.ppm > path/to/file.sixel Encode control codes in a more portable (although less space-efficient) way,ppmtosixel -7bit path/to/file.ppm > path/to/file.sixel Build a Nix expression,nix-build '' --attr firefox Build a sandboxed Nix expression (on non-NixOS),nix-build '' --attr firefox --option sandbox true Search for packages,nimble search search_string Install a package,nimble install package List installed packages,nimble list -i Create a new Nimble package in the current directory,nimble init Build a Nimble package,nimble build Install a Nimble package,nimble install Initialize the `/dev/sda1` volume for use by LVM,pvcreate /dev/sda1 Force the creation without any confirmation prompts,pvcreate --force /dev/sda1 Display the routing table,ip route show Display the main routing table (same as first example),ip route show main|254 Display the local routing table,ip route show table local|255 Display all routing tables,ip route show table all|unspec|0 List routes from a given device only,ip route show dev eth0 List routes within a given scope,ip route show scope link Display the routing cache,ip route show cache Display only IPv6 or IPv4 routes,ip -6|-4 route show Start `calc` in interactive mode,calc Perform a calculation in non-interactive mode,calc '85 * (36 / 4)' Don't format the output (for use with [p]ipes),calc -p '4/3 * pi() * 5^3' Perform a calculation and then switch to [i]nteractive mode,calc -i 'sqrt(2)' "Start `calc` in a specific permission [m]ode (0 to 7, defaults to 7)",calc -m mode View an introduction to `calc`,calc help intro View an overview of `calc`,calc help overview Open the `calc` manual,calc help List the custom images under a resource group,az image list --resource-group resource_group Create a custom image from managed disks or snapshots,az image create --resource-group resource_group --name name --os-type windows|linux --source os_disk_source Delete a custom image,az image delete --name name --resource-group resource_group Show details of a custom image,az image show --name name --resource-group resource_group Update custom images,az image update --name name --resource-group resource_group --set property=value Create a home directory for a user based on `/etc/skel` with umask 022,sudo mkhomedir_helper username Create a home directory for a user based on `/etc/skel` with all permissions for owner (0) and read permission for group (3),sudo mkhomedir_helper username 037 Create a home directory for a user based on a custom skeleton,sudo mkhomedir_helper username umask path/to/skeleton_directory View documentation for the original command,tldr docker rm Start ORCA with an empty workspace,orca-c Start ORCA and open a specific file,orca-c path/to/file.orca Start ORCA and set a specific tempo (defaults to 120),orca-c --bpm beats_per_minute Start ORCA and set the size of the grid,orca-c --initial-size columnsxrows Start ORCA and set the maximum number of undo steps (defaults to 100),orca-c --undo-limit limit Show the main menu inside of ORCA,F1 Show all shortcuts inside of ORCA,? Show all ORCA operators inside of ORCA, + g "Initialize the bottle (run once, at start)",genie -i Run a login shell inside the bottle,genie -s Run a specified command inside the bottle,genie -c command List all installed tools,volta list Install the latest version of a tool,volta install node|npm|yarn|package_name Install a specific version of a tool,volta install node|npm|yarn@version Choose a tool version for a project (will store it in `package.json`),volta pin node|npm|yarn@version Display help,volta help Display help for a subcommand,volta help fetch|install|uninstall|pin|list|completions|which|setup|run|help Produce an image consisting of the input's even-numbered rows,pamdeinterlace path/to/image.ppm > path/to/output.ppm Produce an image consisting of the input's odd-numbered rows,pamdeinterlace -takeodd path/to/image.ppm > path/to/output.ppm Run a `doctl databases options` command with an access token,doctl databases options command --access-token access_token Retrieve a list of the available database engines,doctl databases options engines Retrieve a list of the available regions for a given database engine,doctl databases options regions --engine pg|mysql|redis|mongodb Retrieve a list of the available slugs for a given database engine,doctl databases options slugs --engine pg|mysql|redis|mongodb Retrieve a list of the available versions for a given database engine,doctl databases options versions --engine pg|mysql|redis|mongodb Star a public package from the default registry,npm star package_name Star a package within a specific scope,npm star @scope/package_name Star a package from a specific registry,npm star package_name --registry=registry_url Star a private package that requires authentication,npm star package_name --auth-type=legacy|oauth|web|saml Star a package by providing an OTP for two-factor authentication,npm star package_name --otp=otp Star a package with detailed logging,npm star package_name --loglevel=verbose List all your starred packages,npm star --list List your starred packages from a specific registry,npm star --list --registry=registry_url Send an empty request,grpcurl grpc.server.com:443 my.custom.server.Service/Method Send a request with a header and a body,"grpcurl -H ""Authorization: Bearer $token"" -d '{""foo"": ""bar""}' grpc.server.com:443 my.custom.server.Service/Method" List all services exposed by a server,grpcurl grpc.server.com:443 list List all methods in a particular service,grpcurl grpc.server.com:443 list my.custom.server.Service Bring most recently suspended or running background job to foreground,fg Bring a specific job to foreground,fg %job_id Start DockerSlim on interactive mode,docker-slim Analyze Docker layers from a specific image,docker-slim xray --target image:tag Lint a Dockerfile,docker-slim lint --target path/to/Dockerfile Analyze and generate an optimized Docker image,docker-slim build image:tag Display help for a subcommand,docker-slim subcommand --help Print a specific key value,dconf read /path/to/key Print a specific path sub-directories and sub-keys,dconf list /path/to/directory/ Write a specific key value,"dconf write /path/to/key ""value""" Reset a specific key value,dconf reset /path/to/key Watch a specific key/directory for changes,dconf watch /path/to/key|/path/to/directory/ Dump a specific directory in INI file format,dconf dump /path/to/directory/ Run a collection (from a file),newman run path/to/collection.json Run a collection (from a URL),newman run https://www.getpostman.com/collections/631643-f695cab7-6878-eb55-7943-ad88e1ccfd65-JsLv Check whether the specified files contain valid UTF-8,isutf8 path/to/file1 path/to/file2 ... Print errors using multiple lines,isutf8 --verbose path/to/file1 path/to/file2 ... "Do not print anything to `stdout`, indicate the result merely with the exit code",isutf8 --quiet path/to/file1 path/to/file2 ... Only print the names of the files containing invalid UTF-8,isutf8 --list path/to/file1 path/to/file2 ... "Same as `--list` but inverted, i.e., only print the names of the files containing valid UTF-8",isutf8 --invert path/to/file1 path/to/file2 ... Create a new authentication token,npm token create List all tokens associated with an account,npm token list Delete a specific token using its token ID,npm token revoke token_id Create a token with read-only access,npm token create --read-only Create a token with publish access,npm token create --publish Automatically configure an npm token in your global `.npmrc` file when you log in,npm login Remove a token from the global configuration,npm token revoke token_id Apply taint to a node,kubectl taint nodes node_name label_key=label_value:effect Remove taint from a node,kubectl taint nodes node_name label_key:effect- Remove all taints from a node,kubectl taint nodes node_name label_key- Create a project using a specific preset,kool create preset project_name Run a specific script defined in the `kool.yml` file in the current directory,kool run script Start/stop services in the current directory,kool start|stop Display status of the services in the current directory,kool status Update to the latest version,kool self-update Print the completion script for the specified shell,kool completion bash|fish|powershell|zsh Compile a sketch,arduino-builder -compile path/to/sketch.ino Specify the debug level (default: 5),arduino-builder -debug-level 1..10 Specify a custom build directory,arduino-builder -build-path path/to/build_directory "Use a build option file, instead of specifying `-hardware`, `-tools`, etc. manually every time",arduino-builder -build-options-file path/to/build.options.json Enable verbose mode,arduino-builder -verbose true Generate a key-pair,cosign generate-key-pair Sign a container and store the signature in the registry,cosign sign -key cosign.key image Sign a container image with a key pair stored in a Kubernetes secret,cosign sign -key k8s://namespace/key image Sign a blob with a local key pair file,cosign sign-blob --key cosign.key path/to/file Verify a container against a public key,cosign verify -key cosign.pub image Verify images with a public key in a Dockerfile,cosign dockerfile verify -key cosign.pub path/to/Dockerfile Verify an image with a public key stored in a Kubernetes secret,cosign verify -key k8s://namespace/key image Copy a container image and its signatures,cosign copy example.com/src:latest example.com/dest:latest Clone an existing repository into a specific directory (defaults to the repository name),dolt clone repository_url path/to/directory Clone an existing repository and add a specific remote (defaults to origin),dolt clone --remote remote_name repository_url Clone an existing repository only fetching a specific branch (defaults to all branches),dolt clone --branch branch_name repository_url "Clone a repository, using an AWS region (uses the profile's default region if none is provided)",dolt clone --aws-region region_name repository_url "Clone a repository, using an AWS credentials file",dolt clone --aws-creds-file credentials_file repository_url "Clone a repository, using an AWS credentials profile (uses the default profile if none is provided)",dolt clone --aws-creds-profile profile_name repository_url "Clone a repository, using an AWS credentials type",dolt clone --aws-creds-type credentials_type repository_url Check whether a file or directory is ignored,git check-ignore path/to/file_or_directory Check whether multiple files or directories are ignored,git check-ignore path/to/file_or_directory1 path/to/file_or_directory2 ... "Use pathnames, one per line, from `stdin`",git check-ignore --stdin < path/to/file_list Do not check the index (used to debug why paths were tracked and not ignored),git check-ignore --no-index path/to/file_or_directory1 path/to/file_or_directory2 ... Include details about the matching pattern for each path,git check-ignore --verbose path/to/file_or_directory1 path/to/file_or_directory2 ... Reboot the device normally,adb reboot Reboot the device into bootloader mode,adb reboot bootloader Reboot the device into recovery mode,adb reboot recovery Reboot the device into fastboot mode,adb reboot fastboot Render a PNG image with a filename based on the input filename and output format (uppercase -O),neato -T png -O path/to/input.gv Render a SVG image with the specified output filename (lowercase -o),neato -T svg -o path/to/image.svg path/to/input.gv "Render the output in PS, PDF, SVG, Fig, PNG, GIF, JPEG, JSON, or DOT format",neato -T format -O path/to/input.gv Render a GIF image using `stdin` and `stdout`,"echo ""graph {this -- that} "" | neato -T gif > path/to/image.gif" Display help,neato -? Set a specific latitude and longitude,idevicesetlocation latitude longitude Reset the simulated location,idevicesetlocation reset Update Bash-it to the latest stable/development version,bash-it update stable|dev Reload Bash profile (set `BASH_IT_AUTOMATIC_RELOAD_AFTER_CONFIG_CHANGE` to non-empty value for an automatic reload),bash-it reload Restart Bash,bash-it restart Reload Bash profile with enabled error and warning logging,bash-it doctor Reload Bash profile with enabled error/warning/entire logging,bash-it doctor errors|warnings|all Search for Bash-it aliases/plugins/completions,bash-it search alias|plugin|completion Search for Bash-it aliases/plugins/completions and enable/disable all found items,bash-it search --enable|disable alias|plugin|completion Compile a timezone file from a directory,zic -d path/to/directory Report warnings during compilation of a specific file,zic -v path/to/file.infile "Print ""Hello, world!""",hello "Print ""hello, world"", the traditional type",hello --traditional Print a text message,"hello --greeting=""greeting_text""" Check the consistency of the whole TeX Live installation,tlmgr check all Check the consistency of the whole TeX Live information in verbose mode,tlmgr check all -v Check for missing dependencies,tlmgr check depends Check if all TeX Live executables are present,tlmgr check executes Check if all files listed in the local TLPDB are present,tlmgr check files Check for duplicate filenames in the runfiles sections,tlmgr check runfiles "Print a license to `stdout`, using the defaults (auto-detected author name, and current year)",license license_name Generate a license and save it to a file,license -o path/to/file license_name List all available licenses,license ls Generate a license with custom author name and year,license --name author --year release_year license_name Bind a local TCP port and forward it to a port on the connected USB device,iproxy local_port:device_port Bind multiple local TCP ports and forward them to the respective ports on the connected USB device,iproxy local_port1:device_port1 local_port2:device_port2 Bind a local port and forward it to a specific device by UDID,iproxy --udid device_udid local_port:device_port Bind a local port and forward it to a network-connected device with WiFi sync enabled,iproxy --network local_port:device_port List all services and the runlevels they are added to,rc-update show Add a service to a runlevel,sudo rc-update add service_name runlevel Delete a service from a runlevel,sudo rc-update delete service_name runlevel Delete a service from all runlevels,sudo rc-update --all delete service_name Unescape special XML characters from a string,"xml unescape ""<a1>""" Unescape special XML characters from `stdin`,"echo ""<a1>"" | xml unescape" Display help,xml escape --help Create a new rails project,"rails new ""project_name""" Start local server for current project on port 3000,rails server Start local server for current project on a specified port,"rails server -p ""port""" Open console to interact with application from command-line,rails console Check current version of rails,rails --version Start an interactive shell session,ksh Execute specific [c]ommands,"ksh -c ""echo 'ksh is executed'""" Execute a specific script,ksh path/to/script.ksh Check a specific script for syntax errors without executing it,ksh -n path/to/script.ksh "Execute a specific script, printing each command in the script before executing it",ksh -x path/to/script.ksh Start a REPL (interactive shell),clj Execute a function,clj -X namespace/function_name Run the main function of a specified namespace,clj -M -m namespace args "Prepare a project by resolving dependencies, downloading libraries, and making/caching classpaths",clj -P Start an nREPL server with the CIDER middleware,"clj -Sdeps '{:deps {nrepl {:mvn/version ""0.7.0""} cider/cider-nrepl {:mvn/version ""0.25.2""}' -m nrepl.cmdline --middleware '[""cider.nrepl/cider-middleware""]' --interactive" Start a REPL for ClojureScript and open a web browser,"clj -Sdeps '{:deps {org.clojure/clojurescript {:mvn/version ""1.10.758""}' --main cljs.main --repl" Toggle play,playerctl play-pause Skip to the next track,playerctl next Go back to the previous track,playerctl previous List all players,playerctl --list-all Send a command to a specific player,playerctl --player player_name play-pause|next|previous|... Send a command to all players,playerctl --all-players play-pause|next|previous|... Display metadata about the current track,"playerctl metadata --format ""Now playing: \{\{artist\}\} - \{\{album\}\} - \{\{title\}\}""" Sort a file in ascending order,sort path/to/file Sort a file in descending order,sort --reverse path/to/file Sort a file in case-insensitive way,sort --ignore-case path/to/file Sort a file using numeric rather than alphabetic order,sort --numeric-sort path/to/file "Sort `/etc/passwd` by the 3rd field of each line numerically, using "":"" as a field separator",sort --field-separator=: --key=3n /etc/passwd "As above, but when items in the 3rd field are equal, sort by the 4th field by numbers with exponents","sort -t : -k 3,3n -k 4,4g /etc/passwd" Sort a file preserving only unique lines,sort --unique path/to/file "Sort a file, printing the output to the specified output file (can be used to sort a file in-place)",sort --output=path/to/file path/to/file Print the contents of a file in pride colors to `stdout`,pridecat path/to/file Print contents of a file in trans colors,pridecat path/to/file --transgender|trans Alternate between lesbian and bisexual pride flags,pridecat path/to/file --lesbian --bi Print contents of a file with the background colors changed,pridecat path/to/file -b List directory contents in pride flag colors,ls | pridecat --flag "Start a new stopwatch, giving a tag name to the activity being tracked",timew start activity_tag View running stopwatches,timew Stop the stopwatch with a given tag name,timew stop activity_tag Stop all running stopwatches,timew stop View tracked items,timew summary Get all namespaces in the current cluster,kubectl get namespaces Get nodes in a specified [n]amespace,kubectl get nodes --namespace namespace Get pods in a specified [n]amespace,kubectl get pods --namespace namespace Get deployments in a specified [n]amespace,kubectl get deployments --namespace namespace Get services in a specified [n]amespace,kubectl get services --namespace namespace Get all resources in a specified [n]amespace,kubectl get all --namespace namespace Get Kubernetes objects defined in a YAML manifest [f]ile,kubectl get --file path/to/manifest.yaml Install a specific version of Node.js,nvm install node_version Use a specific version of Node.js in the current shell,nvm use node_version Set the default Node.js version,set nvm_default_version node_version List all available Node.js versions and highlight the default one,nvm list Uninstall a given Node.js version,nvm uninstall node_version Display the type of a command,type command Display all locations containing the specified executable (works only in Bash/fish/Zsh shells),type -a command Display the name of the disk file that would be executed (works only in Bash/fish/Zsh shells),type -p command "Display the type of a specific command, alias/keyword/function/builtin/file (works only in Bash/fish shells)",type -t command Install extension packs to VirtualBox (Note: you need to remove the existing version of the extension pack before installing a new version.),VBoxManage extpack install path/to/file.vbox-extpack Remove the existing version of the VirtualBox extension pack,VBoxManage extpack install --replace Uninstall extension packs from VirtualBox,VBoxManage extpack uninstall extension_pack_name Uninstall extension packs and skip most uninstallation refusals,VBoxManage extpack uninstall --force extension_pack_name Clean up temporary files and directories left by extension packs,VBoxManage extpack cleanup Create a managed disk,az disk create --resource-group resource_group --name disk_name --size-gb size_in_gb List managed disks in a resource group,az disk list --resource-group resource_group Delete a managed disk,az disk delete --resource-group resource_group --name disk_name Grant read or write access to a managed disk (for export),az disk grant-access --resource-group resource_group --name disk_name --access-level Read|Write --duration-in-seconds seconds Update disk size,az disk update --resource-group resource_group --name disk_name --size-gb new_size_in_gb Show a summary of services and their status,rc-status Include services in all runlevels in the summary,rc-status --all List services that have crashed,rc-status --crashed List manually started services,rc-status --manual List supervised services,rc-status --supervised Get the current runlevel,rc-status --runlevel List all runlevels,rc-status --list Display a list of available rulesets and formats,phpmd Scan a file or directory for problems using comma-separated rulesets,"phpmd path/to/file_or_directory xml|text|html ruleset1,ruleset2,..." Specify the minimum priority threshold for rules,"phpmd path/to/file_or_directory xml|text|html ruleset1,ruleset2,... --minimumpriority priority" Include only the specified extensions in analysis,"phpmd path/to/file_or_directory xml|text|html ruleset1,ruleset2,... --suffixes extensions" Exclude the specified comma-separated directories,"phpmd path/to/file_or_directory1,path/to/file_or_directory2,... xml|text|html ruleset1,ruleset2,... --exclude directory_patterns" Output the results to a file instead of `stdout`,"phpmd path/to/file_or_directory xml|text|html ruleset1,ruleset2,... --reportfile path/to/report_file" Ignore the use of warning-suppressive PHPDoc comments,"phpmd path/to/file_or_directory xml|text|html ruleset1,ruleset2,... --strict" Interactively select a hosting service,picgo set uploader Upload the image in current clipboard,picgo upload Upload an image from a specific path,picgo upload path/to/image Update the value of a path,gnmic --address ip:port set --update-path path --update-value value Update the value of a path to match the contents of a JSON file,gnmic -a ip:port set --update-path path --update-file filepath Replace the value of a path to match the contents of a JSON file,gnmic -a ip:port set --replace-path path --replace-file filepath Delete the node at a given path,gnmic -a ip:port set --delete path List all objects managed by WirePlumber,wpctl status Print all properties of an object,wpctl inspect id Set an object to be the default in its group,wpctl set-default id Get the volume of a sink,wpctl get-volume id Set the volume of a sink to `n` percent,wpctl set-volume id n% Increase/Decrease the volume of a sink by `n` percent,wpctl set-volume id n%+|- "Mute/Unmute a sink (1 is mute, 0 is unmute)",wpctl set-mute id 1|0|toggle Generate one passphrase with the default options,xkcdpass Generate one passphrase whose first letters of each word match the provided argument,xkcdpass -a acrostic Generate passwords interactively,xkcdpass -i Print all strings in a binary,strings path/to/file Limit results to strings at least n characters long,strings -n n path/to/file Prefix each result with its offset within the file,strings -t d path/to/file Prefix each result with its offset within the file in hexadecimal,strings -t x path/to/file Initialize an encrypted filesystem,gocryptfs -init path/to/cipher_dir Mount an encrypted filesystem,gocryptfs path/to/cipher_dir path/to/mount_point Mount with the explicit master key instead of password,gocryptfs --masterkey path/to/cipher_dir path/to/mount_point Change the password,gocryptfs --passwd path/to/cipher_dir Make an encrypted snapshot of a plain directory,gocryptfs --reverse path/to/plain_dir path/to/cipher_dir Read a key from the global configuration,kreadconfig5 --group group_name --key key_name Read a key from a specific configuration file,kwriteconfig5 --file path/to/file --group group_name --key key_name Check if systemd is used to start the Plasma session,kreadconfig5 --file startkderc --group General --key systemdBoot Add `n` gigabytes to a virtual disk,qm disk resize vm_id disk_name +nG "List all accepted, unaccepted and rejected minion keys",salt-key -L Accept a minion key by name,salt-key -a MINION_ID Reject a minion key by name,salt-key -r MINION_ID Print fingerprints of all public keys,salt-key -F Display commits since yesterday,git commits-since yesterday Display commits since last week,git commits-since last week Display commits since last month,git commits-since last month Display commits since yesterday 2pm,git commits-since yesterday 2pm View an animation,cacademo List all storage devices in a tree-like format,lsblk Also list empty devices,lsblk -a Print the SIZE column in bytes rather than in a human-readable format,lsblk -b Output info about filesystems,lsblk -f Use ASCII characters for tree formatting,lsblk -i Output info about block-device topology,lsblk -t Exclude the devices specified by the comma-separated list of major device numbers,"lsblk -e 1,7,..." Display a customized summary using a comma-separated list of columns,"lsblk --output NAME,SERIAL,MODEL,TRAN,TYPE,SIZE,FSTYPE,MOUNTPOINT,..." Output the content of `warts` files verbose,sc_wartsdump path/to/file1.warts path/to/file2.warts ... Set the wallpaper to an [i]mage,swaybg --image path/to/image Set the wallpaper [m]ode,swaybg --image path/to/image --mode stretch|fit|fill|center|tile|solid_color Set the wallpaper to a static [c]olor,"swaybg --color ""#rrggbb""" "Output a summary of the last 2: months, days, and all-time",vnstati --summary --iface network_interface --output path/to/output.png Output the 10 most traffic-intensive days of all time,vnstati --top 10 --iface network_interface --output path/to/output.png Output monthly traffic statistics from the last 12 months,vnstati --months --iface network_interface --output path/to/output.png Output hourly traffic statistics from the last 24 hours,vnstati --hours --iface network_interface --output path/to/output.png Set up the server with Laravel dependencies using the default PHP version,larasail setup Set up the server with Laravel dependencies using a specific PHP version,larasail setup php71 Add a new Laravel site,larasail host domain path/to/site_directory Retrieve the Larasail user password,larasail pass Retrieve the Larasail MySQL password,larasail mysqlpass Install a package,pip3 install package Install a specific version of a package,pip3 install package==version Upgrade a package,pip3 install --upgrade package Uninstall a package,pip3 uninstall package Save the list of installed packages to a file,pip3 freeze > requirements.txt Install packages from a file,pip3 install --requirement requirements.txt Show installed package info,pip3 show package Display information about all physical volumes,sudo pvdisplay Display information about the physical volume on drive `/dev/sdXY`,sudo pvdisplay /dev/sdXY Run the previous command as root (`!!` is replaced by the previous command),sudo !! Run a command with the last argument of the previous command,command !$ Run a command with the first argument of the previous command,command !^ Run the Nth command of the history,!n Run the command `n` lines back in the history,!-n Run the most recent command containing `string`,!?string? "Run the previous command, replacing `string1` with `string2`",^string1^string2^ "Perform a history expansion, but print the command that would be run instead of actually running it",!-n:p Convert an SVG file (should be an absolute path) to PNG,ksvgtopng5 width height path/to/file.svg output_filename.png Move a running process to your current terminal,reptyr pid "Compute the difference, i.e. the peak signal-to-noise ratio (PSNR) between two images",pnmpsnr path/to/file1.pnm path/to/file2.pnm Compare the color components rather than the luminance and chrominance components of the images,pnmpsnr path/to/file1.pnm path/to/file2.pnm -rgb "Run in comparison mode, i.e. only output `nomatch` or `match` depending on whether the computing PSNR exceeds `n` or not",pnmpsnr path/to/file1.pnm path/to/file2.pnm -target n "Run in comparison mode and compare the individual image components, i.e. Y, Cb, and Cr, to the corresponding thresholds",pnmpsnr path/to/file1.pnm path/to/file2.pnm -target1 threshold_Y -target2 threshold_Cb -target3 threshold_Cr "Run in comparison mode and compare the individual image components, i.e. red, green, and blue to the corresponding thresholds",pnmpsnr path/to/file1.pnm path/to/file2.pnm -rgb -target1 threshold_red -target2 threshold_green -target3 threshold_blue Produce machine-readable output,pnmpsnr path/to/file1.pnm path/to/file2.pnm -machine Open a prompt to enter an API token and label its context,doctl auth init --context token_label List authentication contexts (API tokens),doctl auth list Switch contexts (API tokens),doctl auth switch --context token_label Remove a stored authentication context (API token),doctl auth remove --context token_label Show available commands,doctl auth --help Show certificate information of a host,cfssl certinfo -domain www.google.com Decode certificate information from a file,cfssl certinfo -cert path/to/certificate.pem Scan host(s) for SSL/TLS issues,cfssl scan host1 host2 ... Display help for a subcommand,cfssl genkey|gencsr|certinfo|sign|gencrl|ocspdump|ocsprefresh|ocspsign|ocspserve|scan|bundle|crl|print-defaults|revoke|gencert|serve|version|selfsign|info -h Query an LDAP server for all items that are a member of the given group and return the object's displayName value,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host -b base_ou 'memberOf=group1' displayName Query an LDAP server with a no-newline password file for all items that are a member of the given group and return the object's displayName value,ldapsearch -D 'admin_DN' -y 'password_file' -h ldap_host -b base_ou 'memberOf=group1' displayName Return 5 items that match the given filter,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host -b base_ou 'memberOf=group1' -z 5 displayName Wait up to 7 seconds for a response,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host -b base_ou 'memberOf=group1' -l 7 displayName Invert the filter,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host -b base_ou '(!(memberOf=group1))' displayName "Return all items that are part of multiple groups, returning the display name for each item","ldapsearch -D 'admin_DN' -w 'password' -h ldap_host '(&(memberOf=group1)(memberOf=group2)(memberOf=group3))' ""displayName""" Return all items that are members of at least 1 of the specified groups,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host '(|(memberOf=group1)(memberOf=group1)(memberOf=group3))' displayName Combine multiple boolean logic filters,ldapsearch -D 'admin_DN' -w 'password' -h ldap_host '(&(memberOf=group1)(memberOf=group2)(!(memberOf=group3)))' displayName Show general help and available subcommands,pueue --help Execute a pueue subcommand,pueue subcommand Check the version of pueue,pueue --version Build a specific project,nx build project Test a specific project,nx test project Execute a target on a specific project,nx run project:target Execute a target on multiple projects,"nx run-many --target target --projects project1,project2" Execute a target on all projects in the workspace,nx run-many --target target --all Execute a target only on projects that have been changed,nx affected --target target Grant direnv permission to load the `.envrc` present in the current directory,direnv allow . Revoke the authorization to load the `.envrc` present in the current directory,direnv deny . Edit the `.envrc` file in the default text editor and reload the environment on exit,direnv edit . Trigger a reload of the environment,direnv reload Print some debug status information,direnv status Generate a new initial TypeORM project structure,typeorm init Create an empty migration file,typeorm migration:create --name migration_name Create a migration file with the SQL statements to update the schema,typeorm migration:generate --name migration_name Run all pending migrations,typeorm migration:run Create a new entity file in a specific directory,typeorm entity:create --name entity --dir path/to/directory Display the SQL statements to be executed by `typeorm schema:sync` on the default connection,typeorm schema:log Execute a specific SQL statement on the default connection,typeorm query sql_sentence Display help for a subcommand,typeorm subcommand --help Paste the contents of the clipboard,wl-paste Paste the contents of the primary clipboard (highlighted text),wl-paste --primary Write the contents of the clipboard to a file,wl-paste > path/to/file Pipe the contents of the clipboard to a command,wl-paste | command Convert PBM image to PGM by averaging the `w`x`h`-sized area surrounding each pixel,pbmtopgm w h path/to/image.pbm > path/to/output.pgm Unlock a specific virtual machine,qm unlock vm_id Search for an exact string in a file,zfgrep search_string path/to/file Count the number of lines that match the given string in a file,zfgrep --count search_string path/to/file Show the line number in the file along with the matching lines,zfgrep --line-number search_string path/to/file Display all lines except those that contain the search string,zfgrep --invert-match search_string path/to/file List only filenames whose content matches the search string at least once,zfgrep --files-with-matches search_string path/to/file1 path/to/file2 ... Make a directed graph acyclic by reversing some edges,acyclic path/to/input.gv > path/to/output.gv "Print if a graph is acyclic, has a cycle, or is undirected, producing no output graph",acyclic -v -n path/to/input.gv Display help,acyclic -? Brute force the password for an archive (tries to guess the archive type),rarcrack path/to/file.zip Specify the archive type,rarcrack --type rar|zip|7z path/to/file.zip Use multiple threads,rarcrack --threads 6 path/to/file.zip Produce a request summarizing the changes between the v1.1 release and a specified branch,git request-pull v1.1 https://example.com/project branch_name Produce a request summarizing the changes between the v0.1 release on the `foo` branch and the local `bar` branch,git request-pull v0.1 https://example.com/project foo:bar Use a specific audio driver,musescore --audio-driver jack|alsa|portaudio|pulse Set the MP3 output bitrate in kbit/s,musescore --bitrate bitrate Start MuseScore in debug mode,musescore --debug "Enable experimental features, such as layers",musescore --experimental Export the given file to the specified output file. The file type depends on the given extension,musescore --export-to output_file input_file Print a diff between the given scores,musescore --diff path/to/file1 path/to/file2 Specify a MIDI import operations file,musescore --midi-operations path/to/file Display version,cargo version Display additional build information,cargo version --verbose Generate a C project with a given name and version,meson init --language=c --name=myproject --version=0.1 Configure the `builddir` with default values,meson setup build_dir Build the project,meson compile -C path/to/build_dir Run all tests in the project,meson test Show the help,meson --help Display version,meson --version Show the current user's scheduled jobs,atq Show jobs from the 'a' [q]ueue (queues have single-character names),atq -q a Show jobs of all users (run as superuser),sudo atq Recognize characters in the [i]nput image and [o]utput it in the given file. Put the database ([p]) in `path/to/db_directory` (verify that the folder exists or DB usage will silently be skipped). [m]ode 130 means create + use + extend database,gocr -m 130 -p path/to/db_directory -i path/to/input_image.png -o path/to/output_file.txt Recognize characters and assume all [C]haracters are numbers,"gocr -m 130 -p path/to/db_directory -i path/to/input_image.png -o path/to/output_file.txt -C ""0..9""" Recognize characters with a cert[a]inty of 100% (characters have a higher chance to be treated as unknown),gocr -m 130 -p path/to/db_directory -i path/to/input_image.png -o path/to/output_file.txt -a 100 Convert a range of pages to PNGs (Note: `%nd` in the output placeholder must be replaced with a print modifier like `%d` or `%2d`),mutool convert -o path/to/output%nd.png path/to/input.pdf 1-10 Convert one or more pages of a PDF into text in `stdout`,"mutool draw -F txt path/to/input.pdf 2,3,5,..." Concatenate multiple PDF files,mutool merge -o path/to/output.pdf path/to/input1.pdf path/to/input2.pdf ... Query information about all content embedded in a PDF,mutool info path/to/input.pdf "Extract all images, fonts and resources embedded in a PDF to the current directory",mutool extract path/to/input.pdf Show the outline (table of contents) of a PDF,mutool show path/to/input.pdf outline Generate and show the execution plan in the currently directory,terraform plan Show a plan to destroy all remote objects that currently exist,terraform plan -destroy Show a plan to update the Terraform state and output values,terraform plan -refresh-only Specify values for input variables,terraform plan -var 'name1=value1' -var 'name2=value2' Focus Terraform's attention on only a subset of resources,terraform plan -target resource_type.resource_name[instance index] Output a plan as JSON,terraform plan -json Write a plan to a specific file,terraform plan -no-color > path/to/file Reverse text typed into terminal,rev "Reverse the text string ""hello""","echo ""hello"" | rev" Reverse an entire file and print to `stdout`,rev path/to/file Use '\0' as a line separator (zero termination),rev -0 path/to/file Display help,rev -h Display version,rev -V "Put a file or directory under version control, so it will be in the current checkout",fossil add path/to/file_or_directory Remove all added files from the current checkout,fossil add --reset Replace environment variables in `stdin` and output to `stdout`,echo '$HOME' | envsubst Replace environment variables in an input file and output to `stdout`,envsubst < path/to/input_file Replace environment variables in an input file and output to a file,envsubst < path/to/input_file > path/to/output_file Replace environment variables in an input file from a space-separated list,envsubst '$USER $SHELL $HOME' < path/to/input_file Display all available stream info for a media file,ffprobe -v error -show_streams input.mp4 Display media duration,ffprobe -v error -show_entries format=duration -of default=noprint_wrappers=1:nokey=1 input.mp4 Display the frame rate of a video,ffprobe -v error -select_streams v:0 -show_entries stream=avg_frame_rate -of default=noprint_wrappers=1:nokey=1 input.mp4 Display the width or height of a video,ffprobe -v error -select_streams v:0 -show_entries stream=width|height -of default=noprint_wrappers=1:nokey=1 input.mp4 Display the average bit rate of a video,ffprobe -v error -select_streams v:0 -show_entries stream=bit_rate -of default=noprint_wrappers=1:nokey=1 input.mp4 Search a single directory,fdupes path/to/directory Search multiple directories,fdupes directory1 directory2 Search a directory recursively,fdupes -r path/to/directory "Search multiple directories, one recursively",fdupes directory1 -R directory2 "Search recursively, considering hardlinks as duplicates",fdupes -rH path/to/directory "Search recursively for duplicates and display interactive prompt to pick which ones to keep, deleting the others",fdupes -rd path/to/directory Search recursively and delete duplicates without prompting,fdupes -rdN path/to/directory "Edit a task, see `pueue status` to get the task ID",pueue edit task_id Edit the path from which a task is executed,pueue edit task_id --path Edit a command with the specified editor,EDITOR=nano pueue edit task_id Convert a specific installation file to Debian format (`.deb` extension),sudo alien --to-deb path/to/file Convert a specific installation file to Red Hat format (`.rpm` extension),sudo alien --to-rpm path/to/file Convert a specific installation file to a Slackware installation file (`.tgz` extension),sudo alien --to-tgz path/to/file Convert a specific installation file to Debian format and install on the system,sudo alien --to-deb --install path/to/file List the UDIDs of all attached devices,idevice_id --list List the UDIDs of all devices available via the network,idevice_id --network Scan a website for broken links,lychee https://example.com Display a breakdown of error types,lychee --format detailed https://example.com Limit the amount of connections to prevent DDOS protection,lychee --max-concurrency 5 links.txt Check files in a directory structure for any broken URLs,"grep -r ""pattern"" | lychee -" Display help,lychee --help "Display the list of all supported guest operating systems, versions and variants",quickget list Download and create the virtual machine configuration for building a Quickemu virtual machine for an OS,quickget os release edition Download configuration for a Windows 11 VM with VirtIO drivers for Windows,quickget windows 11 Download a macOS recovery image and creates a virtual machine configuration,quickget macos mojave|catalina|big-sur|monterey|ventura|sonoma Show an ISO URL for an operating system,quickget --url fedora release edition Test if an ISO file is available for an operating system,quickget --check nixos release edition Download an image without building any VM configuration,quickget --download os release edition Create a VM configuration for an OS image,quickget --create-config os path/to/iso Clear all previous `slurmctld` states from its last checkpoint,slurmctld -c "Set the daemon's nice value to the specified value, typically a negative number",slurmctld -n value Write log messages to the specified file,slurmctld -L path/to/output_file Display help,slurmctld -h Display version,slurmctld -V Install a module,drupal module:install module_name Uninstall a module,drupal module:uninstall module_name Clear all caches,drupal cache:rebuild View current Drupal installation status,drupal site:status Launch document viewer,okular Open specific documents,okular path/to/file1 path/to/file2 ... Open a document at a specific page,okular --page page_number path/to/file Open a specific document in presentation mode,okular --presentation path/to/file Open a specific document and start a print dialog,okular --print path/to/file Open a document and search for a specific string,okular --find search_string path/to/file Start a REPL (interactive shell),scala Start the interpreter with a dependency in the classpath,scala -classpath filename.jar command Execute a Scala script,scala script.scala Execute a `.jar` program,scala filename.jar Execute a single Scala command in the command-line,scala -e command Capture an image and name the file,rpicam-jpeg -o path/to/file.jpg Capture an image with set dimensions,rpicam-jpeg -o path/to/file.jpg --width 1920 --height 1080 Capture an image with an exposure of 20 seconds and a gain of 150%,rpicam-jpeg -o path/to/file.jpg --shutter 20000 --gain 1.5 Display available modules,module avail Search for a module by name,module avail module_name Load a module,module load module_name Display loaded modules,module list Unload a specific loaded module,module unload module_name Unload all loaded modules,module purge Specify user-created modules,module use path/to/module_file1 path/to/module_file2 ... List all buckets in a project you are logged into,gsutil ls List the objects in a bucket,gsutil ls -r 'gs://bucket_name/prefix**' Download an object from a bucket,gsutil cp gs://bucket_name/object_name path/to/save_location Upload an object to a bucket,gsutil cp object_location gs://destination_bucket_name/ Rename or move objects in a bucket,gsutil mv gs://bucket_name/old_object_name gs://bucket_name/new_object_name Create a new bucket in the project you are logged into,gsutil mb gs://bucket_name Delete a bucket and remove all the objects in it,gsutil rm -r gs://bucket_name "Install, update, or remove specific plugins",grafana-cli plugins install|update|remove plugin_id1 plugin_id2 ... List all installed plugins,grafana-cli plugins ls View documentation for managing package managers,tldr apx pkgmanagers View documentation for managing stacks,tldr apx stacks View documentation for managing subsystems,tldr apx subsystems Flatten an image,crane flatten Apply new tag to flattened image,crane flatten -t|--tag tag_name Display help,crane flatten -h|--help Read an image from a file and print in ASCII,asciiart path/to/image.jpg Read an image from a URL and print in ASCII,asciiart www.example.com/image.jpg Choose the output width (default is 100),asciiart --width 50 path/to/image.jpg Colorize the ASCII output,asciiart --color path/to/image.jpg Choose the output format (default format is text),asciiart --format text|html path/to/image.jpg Invert the character map,asciiart --invert-chars path/to/image.jpg Redirect a file to `stdin` (achieves the same effect as `cat file.txt |`),command < path/to/file.txt Create a here document and pass that into `stdin` (requires a multiline command),command << EOF multiline_data EOF Create a here string and pass that into `stdin` (achieves the same effect as `echo string |`),command <<< string "Display version information for all installed components, along with available updates to them",gcloud version Display help,gcloud version --help List installed packages and versions,pacman --query List only packages and versions that were explicitly installed,pacman --query --explicit Find which package owns a file,pacman --query --owns filename Display information about an installed package,pacman --query --info package List files owned by a package,pacman --query --list package List orphan packages (installed as dependencies but not required by any package),pacman --query --unrequired --deps --quiet List installed packages not found in the repositories,pacman --query --foreign List outdated packages,pacman --query --upgrades Upgrade installed packages to the newest available versions,sudo dnf upgrade Search packages via keywords,dnf search keyword1 keyword2 ... Display details about a package,dnf info package Install a new package (use `-y` to confirm all prompts automatically),sudo dnf install package1 package2 ... Remove a package,sudo dnf remove package1 package2 ... List installed packages,dnf list --installed Find which packages provide a given command,dnf provides command View all past operations,dnf history Remove the top directory from the stack and cd to it,popd Remove the Nth directory (starting from zero to the left from the list printed with `dirs`),popd +N Remove the Nth directory (starting from zero to the right from the list printed with `dirs`),popd -N Remove the 1st directory (starting from zero to the left from the list printed with `dirs`),popd -n Open the current directory,vifm . Open specified directories on left or right plane,vifm path/to/directory1 path/to/directory2 ... Connect to a FreeRDP server,xfreerdp /u:username /p:password /v:ip_address Connect to a FreeRDP server and activate audio output redirection using `sys:alsa` device,xfreerdp /u:username /p:password /v:ip_address /sound:sys:alsa Connect to a FreeRDP server with dynamic resolution,xfreerdp /v:ip_address /u:username /p:password /dynamic-resolution Connect to a FreeRDP server with clipboard redirection,xfreerdp /v:ip_address /u:username /p:password +clipboard Connect to a FreeRDP server ignoring any certificate checks,xfreerdp /v:ip_address /u:username /p:password /cert:ignore Connect to a FreeRDP server with a shared directory,"xfreerdp /v:ip_address /u:username /p:password /drive:path/to/directory,share_name" List available licenses,proctl -ll|-list-licenses List available languages,proctl -lL|-list-languages Pick a license in a FZF menu,proctl -pl|-pick-license Pick a language in a FZF menu,proctl -pL|-pick-language Remove all licenses from the current project,proctl -r|-remove-license Create a new license template,proctl -t|-new-template Delete a license from templates,proctl -R|-delete-license @license_name1 @license_name2 ... Show this helpful list of commands,proctl -h|-help Add a task,"todoist add ""task_name""" "Add a high priority task with a label, project, and due date","todoist add ""task_name"" --priority 1 --label-ids ""label_id"" --project-name ""project_name"" --date ""tmr 9am""" "Add a high priority task with a label, project, and due date in quick mode","todoist quick '#project_name ""tmr 9am"" p1 task_name @label_name'" List all tasks with a header and color,todoist --header --color list List all high priority tasks,todoist list --filter p1 List today's tasks with high priority that have the specified label,todoist list --filter '(@label_name | today) & p1' Display a cursor to send a window to the system tray when pressing the left mouse button (press any other mouse button to cancel),kdocker Open an application and send it to the system tray,kdocker application Send focused window to the system tray,kdocker -f Display a cursor to send a window to the system tray with a custom icon when pressing the left mouse button,kdocker -i /path/to/icon "Open an application, send it to the system tray and if focus is lost, minimize it",kdocker -l application Display version,kdocker --version Create a snapshot using a configuration file,sudo rusnapshot --config path/to/config.toml --cr List created snapshots,sudo rusnapshot -c path/to/config.toml --list Delete a snapshot by ID or the name of the snapshot,sudo rusnapshot -c path/to/config.toml --del --id snapshot_id Delete all `hourly` snapshots,sudo rusnapshot -c path/to/config.toml --list --keep 0 --clean --kind hourly Create a read-write snapshot,sudo rusnapshot -c path/to/config.toml --cr --rw Restore a snapshot,sudo rusnapshot -c path/to/config.toml --id snapshot_id --restore Prepare the PHP extension in the current directory for compiling,phpize Delete files previously created by phpize,phpize --clean Format a single file,uncrustify -f path/to/file.cpp -o path/to/output.cpp "Read filenames from `stdin`, and take backups before writing output back to the original filepaths","find . -name ""*.cpp"" | uncrustify -F - --replace" Don't make backups (useful if files are under version control),"find . -name ""*.cpp"" | uncrustify -F - --no-backup" Use a custom configuration file and write the result to `stdout`,uncrustify -c path/to/uncrustify.cfg -f path/to/file.cpp Explicitly set a configuration variable's value,uncrustify --set option=value Generate a new configuration file,uncrustify --update-config -o path/to/new.cfg Convert a PBM image to a ESC/P2 printer file,pbmtoescp2 path/to/image.pbm > path/to/output.escp2 Specify the compression of the output,pbmtoescp2 -compression 0|1 path/to/image.pbm > path/to/output.escp2 Specify the horizontal and vertical resolution of the output in dots per inch,pbmtoescp2 -resolution 180|360|720 path/to/image.pbm > path/to/output.escp2 Place a formfeed command at the end of the output,pbmtoescp2 -formfeed path/to/image.pbm > path/to/output.escp2 View documentation for the recommended replacement,tldr flock Create a new project,quarto create-project path/to/destination_directory --type book|default|website Create a new blog website,quarto create-project path/to/destination_directory --type website --template blog Render input file(s) to different formats,quarto render path/to/file.qmd|rmd|ipynb --to html|pdf|docx Render and preview a document or a website,quarto preview path/to/destination_directory|path/to/file "Publish a document or project to Quarto Pub, Github Pages, RStudio Connect or Netlify",quarto publish quarto-pub|gh-pages|connect|netlify List VMs,limactl list Create a VM using the default settings and optionally provide a name and/or a template (see `limactl create --list-templates` for available templates),limactl create --name vm_name template://debian|fedora|ubuntu|… Start a VM (this might install some dependencies in it and take a few minutes),limactl start vm_name Open a remote shell inside a VM,limactl shell vm_name Run a command inside a VM,limactl shell vm_name command Stop/shutdown a VM,limactl stop vm_name Delete a VM,limactl remove vm_name Rebase the current branch on top of another specified branch,git rebase new_base_branch "Start an interactive rebase, which allows the commits to be reordered, omitted, combined or modified",git rebase -i|--interactive target_base_branch_or_commit_hash "Continue a rebase that was interrupted by a merge failure, after editing conflicting files",git rebase --continue "Continue a rebase that was paused due to merge conflicts, by skipping the conflicted commit",git rebase --skip Abort a rebase in progress (e.g. if it is interrupted by a merge conflict),git rebase --abort "Move part of the current branch onto a new base, providing the old base to start from",git rebase --onto new_base old_base "Reapply the last 5 commits in-place, stopping to allow them to be reordered, omitted, combined or modified",git rebase -i|--interactive HEAD~5 Auto-resolve any conflicts by favoring the working branch version (`theirs` keyword has reversed meaning in this case),git rebase -X|--strategy-option theirs branch_name Delay in seconds,sleep seconds Execute a specific command after 20 seconds delay,sleep 20 && command Print current working directory of a process,pwdx process_id Start an arithmetic quiz,arithmetic Specify one or more arithmetic [o]peration symbols to get problems on them,arithmetic -o +|-|x|/ "Specify a range. Addition and multiplication problems would feature numbers between 0 and range, inclusive. Subtraction and division problems would have required result and number to be operated on, between 0 and range",arithmetic -r 7 Open a new urxvt window,urxvt Run in a specific directory,urxvt -cd path/to/directory Run a command in a new urxvt window,urxvt -e command Run a command and keep the window open,urxvt --hold -e command Run a command within the `sh` shell,urxvt -e sh -c command Open a terminal,st Open a terminal with a specific title,st -T title "Open a terminal, execute a given command, and write the output to a file",st -o path/to/file -e command argument1 argument2 Increase/decrease the font size, + + Page Up|Page Down Copy/paste from the clipboard, + + C|V Knock on ports using different protocols,knock hostname portnumber:protocol Knock on port using UDP,knock -u hostname portnumber Force usage of IPv4/IPv6,knock -4|-6 hostname portnumber Display errors and details of connection,knock -v hostname portnumber Show the current secure boot status,sbctl status "Create custom secure boot keys (by default, everything is stored in `/var/lib/sbctl`)",sbctl create-keys Enroll the custom secure boot keys and Microsoft's UEFI vendor certificates,sbctl enroll-keys --microsoft Automatically run `create-keys` and `enroll-keys` based on the settings in `/etc/sbctl/sbctl.conf`,sbctl setup --setup Sign an EFI binary with the created key and save the file to the database,sbctl sign -s|--save path/to/efi_binary Re-sign all the saved files,sbctl sign-all Verify that all EFI executables on the EFI system partition have been signed,sbctl verify Create an auto-named `.patch` file for all the unpushed commits,git format-patch origin Write a `.patch` file for all the commits between 2 revisions to `stdout`,git format-patch revision_1..revision_2 Write a `.patch` file for the 3 latest commits,git format-patch -3 Write tarball to `stdout`,crane export image_name - Write tarball to file,crane export image_name path/to/tarball Read image from `stdin`,crane export - path/to/filename Decompress from a file to the current directory,lrzuntar path/to/archive.tar.lrz Decompress from a file to the current directory using a specific number of processor threads,lrzuntar -p 8 path/to/archive.tar.lrz Decompress from a file to the current directory and silently overwrite items that already exist,lrzuntar -f archive.tar.lrz Specify the output path,lrzuntar -O path/to/directory archive.tar.lrz Delete the compressed file after decompression,lrzuntar -D path/to/archive.tar.lrz Compare two files,xzdiff path/to/file1 path/to/file2 "Compare two files, showing the differences side by side",xzdiff --side-by-side path/to/file1 path/to/file2 Compare two files and report only that they differ (no details on what is different),xzdiff --brief path/to/file1 path/to/file2 Compare two files and report when the files are the same,xzdiff --report-identical-files path/to/file1 path/to/file2 Compare two files using paginated results,xzdiff --paginate path/to/file1 path/to/file2 Rename files using simple substitutions (substitute 'foo' with 'bar' wherever found),rename foo bar * Dry-run - display which renames would occur without performing them,rename -vn foo bar * Do not overwrite existing files,rename -o foo bar * Change file extensions,rename .ext .bak *.ext "Prepend ""foo"" to all filenames in the current directory",rename '' 'foo' * Rename a group of increasingly numbered files zero-padding the numbers up to 3 digits,rename foo foo00 foo? && rename foo foo0 foo?? Initialize a new repository,rustic init --repository /srv/rustic-repo Create a new backup of a file/directory to a repository,rustic backup --repository /srv/rustic-repo path/to/file_or_directory Connect local serverless support to a functions namespace,doctl serverless connect Deploy a functions project to your functions namespace,doctl serverless deploy Obtain metadata of a functions project,doctl serverless get-metadata Provide information about serverless support,doctl serverless status Turn on Gammastep with a specific [t]emperature during the day (e.g. 5700k) and at night (e.g. 3600k),gammastep -t 5700:3600 Turn on Gammastep with a manually specified custom [l]ocation,gammastep -l latitude:longitude "Turn on Gammastep with a specific screen [b]rightness during the day (e.g. 70%) and at night (e.g. 40%), with minimum brightness 10% and maximum brightness 100%",gammastep -b 0.7:0.4 Turn on Gammastep with custom [g]amma levels (between 0 and 1),gammastep -g red:green:blue Turn on Gammastep with a c[O]nstant unchanging color temperature,gammastep -O temperature Reset temperature adjustments applied by Gammastep,gammastep -x Find the commit the patch applies to and do a rebase,git rebase-patch patch_file Check commits for a GPG signature,git verify-commit commit_hash1 optional_commit_hash2 ... Check commits for a GPG signature and show details of each commit,git verify-commit commit_hash1 optional_commit_hash2 ... --verbose Check commits for a GPG signature and print the raw details,git verify-commit commit_hash1 optional_commit_hash2 ... --raw "Take a screenshot and save it to the default location, normally `~/Pictures`",gnome-screenshot Take a screenshot and save it to the named file location,gnome-screenshot --file path/to/file Take a screenshot and save it to the clipboard,gnome-screenshot --clipboard Take a screenshot after the specified number of seconds,gnome-screenshot --delay 5 Launch the GNOME Screenshot GUI,gnome-screenshot --interactive Take a screenshot of the current window and save it to the specified file location,gnome-screenshot --window --file path/to/file Take a screenshot after the specified number of seconds and save it to the clipboard,gnome-screenshot --delay 10 --clipboard Display the version,gnome-screenshot --version Output a list of words of length 1 to 3 with only lowercase characters,crunch 1 3 Output a list of hexadecimal words of length 8,crunch 8 8 0123456789abcdef Output a list of all permutations of abc (lengths are not processed),crunch 1 1 -p abc Output a list of all permutations of the given strings (lengths are not processed),crunch 1 1 -p abc def ghi Output a list of words generated according to the given pattern and a maximum number of duplicate letters,crunch 5 5 abcde123 -t @@@12 -d 2@ "Write a list of words in chunk files of a given size, starting with the given string",crunch 3 5 -o START -b 10kb -s abc Write a list of words stopping with the given string and inverting the wordlist,crunch 1 5 -o START -e abcde -i Write a list of words in compressed chunk files with a specified number of words,crunch 1 5 -o START -c 1000 -z gzip|bzip2|lzma|7z Clean up resources,qm cleanup vm_id clean-shutdown guest-requested Initialize a new package with prompts,npm init Initialize a new package with default values,npm init -y Initialize a new package using a specific initializer,npm init create-react-app my-app Compress a file using xz,xz path/to/file Decompress an XZ file,xz --decompress path/to/file.xz Compress a file using lzma,xz --format=lzma path/to/file Decompress an LZMA file,xz --decompress --format=lzma path/to/file.lzma Decompress a file and write to `stdout` (implies `--keep`),xz --decompress --stdout path/to/file.xz "Compress a file, but don't delete the original",xz --keep path/to/file Compress a file using the fastest compression,xz -0 path/to/file Compress a file using the best compression,xz -9 path/to/file Change XDG's DESKTOP directory to the specified directory (must be absolute),"xdg-user-dirs-update --set DESKTOP ""path/to/directory""" Write the result to the specified dry-run-file instead of the `user-dirs.dirs` file,"xdg-user-dirs-update --dummy-output ""path/to/dry_run_file"" --set xdg_user_directory ""path/to/directory""" Run a `doctl databases pool` command with an access token,doctl databases pool command --access-token access_token Retrieve information about a database connection pool,doctl databases pool get database_id pool_name List connection pools for a database cluster,doctl databases pool list database_id Create a connection pool for a database,doctl databases pool create database_id pool_name --db new_pool_name --size pool_size Delete a connection pool for a database,doctl databases pool create database_id pool_name Show all running services,systemctl status List failed units,systemctl --failed Start/Stop/Restart/Reload/Show the status a service,systemctl start|stop|restart|reload|status unit Enable/Disable a unit to be started on bootup,systemctl enable|disable unit "Reload systemd, scan for new or changed units",systemctl daemon-reload Check if a unit is active/enabled/failed,systemctl is-active|is-enabled|is-failed unit List all service/socket/automount units filtering by running/failed state,systemctl list-units --type=service|socket|automount --state=failed|running Show the contents & absolute path of a unit file,systemctl cat unit List loop devices with detailed info,losetup -a Attach a file to a given loop device,sudo losetup /dev/loop /path/to/file Attach a file to a new free loop device and scan the device for partitions,sudo losetup --show --partscan -f /path/to/file Attach a file to a read-only loop device,sudo losetup --read-only /dev/loop /path/to/file Detach all loop devices,sudo losetup -D Detach a given loop device,sudo losetup -d /dev/loop List last logged in users,sudo lastb List all last logged in users since a given time,sudo lastb --since YYYY-MM-DD List all last logged in users until a given time,sudo lastb --until YYYY-MM-DD List all logged in users at a specific time,sudo lastb --present hh:mm List all last logged in users and translate the IP into a hostname,sudo lastb --dns Create a symbolic link to a file or directory,ln -s /path/to/file_or_directory path/to/symlink Overwrite an existing symbolic link to point to a different file,ln -sf /path/to/new_file path/to/symlink Create a hard link to a file,ln /path/to/file path/to/hardlink Start `wpm`,wpm Start `wpm` with short texts,wpm --short Start `wpm` using a specific text file,wpm --load path/to/file.txt Tag your race scores,wpm --tag tag_name Show score statistics grouped by tags,wpm --stats Start `wpm` with monochrome colors,wpm --monochrome Change a username,sudo usermod -l|--login new_username username Change a user ID,sudo usermod -u|--uid id username Change a user shell,sudo usermod -s|--shell path/to/shell username Add a user to supplementary groups (mind the lack of whitespace),"sudo usermod -a|--append -G|--groups group1,group2,... username" Change a user home directory,sudo usermod -m|--move-home -d|--home path/to/new_home username Update the checksums in a `PKGBUILD`,updpkgsums Display help,updpkgsums -h Display version,updpkgsums -v "Copy a PAM image (i.e. a PBM, PGM, PPM or PAM image) from `stdin` to `stdout`",pamtopam < path/to/image.pam > path/to/output.pam Display version,pamtopam -version Unstar a public package from the default registry,npm unstar package_name Unstar a package within a specific scope,npm unstar @scope/package_name Unstar a package from a specific registry,npm unstar package_name --registry=registry_url Unstar a private package that requires authentication,npm unstar package_name --auth-type=legacy|oauth|web|saml Unstar a package by providing an OTP for two-factor authentication,npm unstar package_name --otp=otp Unstar a package with a specific logging level,npm unstar package_name --loglevel=silent|error|warn|notice|http|timing|info|verbose|silly List all Linodes,linode-cli linodes list Create a new Linode,linode-cli linodes create --type linode_type --region region --image image_id View details of a specific Linode,linode-cli linodes view linode_id Update settings for a Linode,linode-cli linodes update linode_id --label [new_label Delete a Linode,linode-cli linodes delete linode_id Perform a power management operation on a Linode,linode-cli linodes boot|reboot|shutdown linode_id List available backups for a Linode,linode-cli linodes backups-list linode_id Restore a backup to a Linode,linode-cli linodes backups-restore linode_id --backup-id backup_id Run a command with environment variables from a `.env` file,dotenvx run -- command Run a command with environment variables from a specific `.env` file,dotenvx run -f path/to/file.env -- command Set an environment variable with encryption,dotenvx set key value Set an environment variable without encryption,dotenvx set key value --plain Return environment variables defined in a `.env` file,dotenvx get Return the value of an environment variable defined in a `.env` file,dotenvx get key Return all environment variables from `.env` files and OS,dotenvx get --all List all compatible displays,ddcutil detect Change the brightness (option 0x10) of display 1 to 50%,ddcutil --display 1 setvcp 10 50 Increase the contrast (option 0x12) of display 1 by 5%,ddcutil -d 1 setvcp 12 + 5 Read the settings of display 1,ddcutil -d 1 getvcp ALL List currently running Podman containers,podman ps List all Podman containers (running and stopped),podman ps --all Show the latest created container (includes all states),podman ps --latest Filter containers that contain a substring in their name,"podman ps --filter ""name=name""" Filter containers that share a given image as an ancestor,"podman ps --filter ""ancestor=image:tag""" Filter containers by exit status code,"podman ps --all --filter ""exited=code""" "Filter containers by status (created, running, removing, paused, exited and dead)","podman ps --filter ""status=status""" Filter containers that mount a specific volume or have a volume mounted in a specific path,"podman ps --filter ""volume=path/to/directory"" --format ""table .ID\t.Image\t.Names\t.Mounts""" Open PlatformIO Home in the default web browser,pio home Use a specific HTTP port (defaults to 8008),pio home --port port Bind to a specific IP address (defaults to 127.0.0.1),pio home --host ip_address Do not automatically open PlatformIO Home in the default web browser,pio home --no-open Automatically shutdown the server on timeout (in seconds) when no clients are connected,pio home --shutdown-timeout time Specify a unique session identifier to keep PlatformIO Home isolated from other instances and protected from 3rd party access,pio home --session-id id List datasets,aws quicksight list-data-sets --aws-account-id aws_account_id List users,aws quicksight list-users --aws-account-id aws_account_id --namespace default List groups,aws quicksight list-groups --aws-account-id aws_account_id --namespace default List dashboards,aws quicksight list-dashboards --aws-account-id aws_account_id Display detailed information about a dataset,aws quicksight describe-data-set --aws-account-id aws_account_id --data-set-id data_set_id Display who has access to the dataset and what kind of actions they can perform on the dataset,aws quicksight describe-data-set-permissions --aws-account-id aws_account_id --data-set-id data_set_id Run a specific program inside the `wine` environment,wine command Run a specific program in background,wine start command Install/uninstall an MSI package,wine msiexec /i|x path/to/package.msi "Run `File Explorer`, `Notepad`, or `WordPad`",wine explorer|notepad|write "Run `Registry Editor`, `Control Panel`, or `Task Manager`",wine regedit|control|taskmgr Run the configuration tool,wine winecfg Compile a DVI document,latex source.tex "Compile a DVI document, specifying an output directory",latex -output-directory=path/to/directory source.tex "Compile a DVI document, exiting on each error",latex -halt-on-error source.tex Open a specific URL or file,microsoft-edge https://example.com|path/to/file.html Open in InPrivate mode,microsoft-edge --inprivate example.com Open in a new window,microsoft-edge --new-window example.com "Open in application mode (without toolbars, URL bar, buttons, etc.)",microsoft-edge --app=https://example.com Use a proxy server,"microsoft-edge --proxy-server=""socks5://hostname:66"" example.com" Open with a custom profile directory,microsoft-edge --user-data-dir=path/to/directory Open without CORS validation (useful to test an API),microsoft-edge --user-data-dir=path/to/directory --disable-web-security Open with a DevTools window for each tab opened,microsoft-edge --auto-open-devtools-for-tabs "Show the sequence of commits starting from the current one, in reverse chronological order of the Git repository in the current working directory",git log "Show the history of a particular file or directory, including differences",git log -p|-u|--patch path/to/file_or_directory Show an overview of which file(s) changed in each commit,git log --stat Show a graph of commits in the current branch using only the first line of each commit message,git log --oneline --graph "Show a graph of all commits, tags and branches in the entire repo",git log --oneline --decorate --all --graph "Show only commits with messages that include a specific string, ignoring case",git log -i|--regexp-ignore-case --grep search_string Show the last N number of commits from a certain author,"git log -n|--max-count number --author ""author""" Show commits between two dates (yyyy-mm-dd),"git log --before ""2017-01-29"" --after ""2017-01-17""" Show all streams in the account,aws kinesis list-streams Write one record to a Kinesis stream,aws kinesis put-record --stream-name name --partition-key key --data base64_encoded_message Write a record to a Kinesis stream with inline base64 encoding,"aws kinesis put-record --stream-name name --partition-key key --data ""$( echo ""my raw message"" | base64 )""" List the shards available on a stream,aws kinesis list-shards --stream-name name Get a shard iterator for reading from the oldest message in a stream's shard,aws kinesis get-shard-iterator --shard-iterator-type TRIM_HORIZON --stream-name name --shard-id id "Read records from a shard, using a shard iterator",aws kinesis get-records --shard-iterator iterator Listen for input on the specified port and write it to the specified file,ncat -l port > path/to/file Accept multiple connections and keep ncat open after they have been closed,ncat -lk port Write output of specified file to the specified host on the specified port,ncat address port < path/to/file Accept multiple incoming connections on an encrypted channel evading detection of traffic content,ncat --ssl -k -l port Connect to an open `ncat` connection over SSL,ncat --ssl host port Check connectivity to a remote host on a particular port with timeout,ncat -w seconds -vz host port "Generate an analyzer from a flex file, storing it to the file `lex.yy.c`",lex analyzer.l Write analyzer to `stdout`,lex --stdout|t analyzer.l Specify the output file,lex analyzer.l -o analyzer.c Generate a [B]atch scanner instead of an interactive scanner,lex -B analyzer.l Compile a C file generated by Lex,cc path/to/lex.yy.c --output executable Display the default question dialog,zenity --question "Display an info dialog displaying the text ""Hello!""","zenity --info --text=""Hello!""" "Display a name/password form and output the data separated by "";""","zenity --forms --add-entry=""Name"" --add-password=""Password"" --separator="";""" Display a file selection form in which the user can only select directories,zenity --file-selection --directory Display a progress bar which updates its message every second and show a progress percent,"(echo ""#1""; sleep 1; echo ""50""; echo ""#2""; sleep 1; echo ""100"") | zenity --progress" List outdated processes,needrestart Interactively restart services,sudo needrestart List outdated processes in [v]erbose or [q]uiet mode,needrestart -v|q Check if the [k]ernel is outdated,needrestart -k Check if the CPU microcode is outdated,needrestart -w List outdated processes in [b]atch mode,needrestart -b List outdated processed using a specific [c]onfiguration file,needrestart -c path/to/config Display help,needrestart --help Render a static image directly in the terminal,pixterm path/to/file Use the image's original aspect ratio,pixterm -s 2 path/to/file Specify a custom aspect ratio using a specific number of [t]erminal [r]ows and [c]olumns,pixterm -tr 24 -tc 80 path/to/file Filter the output with a [m]atte background color and character [d]ithering,pixterm -m 000000 -d 2 path/to/file Display information about currently logged in users,finger Display information about a specific user,finger username "Display the user's login name, real name, terminal name, and other information",finger -s "Produce multiline output format displaying same information as `-s` as well as user's home directory, home phone number, login shell, mail status, etc.",finger -l Prevent matching against user's names and only use login names,finger -m Start a REPL session either with the project or standalone,boot repl Build a single `uberjar`,boot jar Generate scaffolding for a new project based on a template,boot --dependencies boot/new new --template template_name --name project_name Build for development (if using the boot/new template),boot dev Build for production (if using the boot/new template),boot prod Display help for a specific task,boot task --help List regions that support Kubernetes clusters,doctl kubernetes options regions List machine sizes that can be used in a Kubernetes cluster,doctl kubernetes options sizes List Kubernetes versions that can be used with DigitalOcean clusters,doctl kubernetes options versions Initialize a new Behat project,behat --init Run all tests,behat Run all tests from the specified suite,behat --suite suite_name Run tests with a specific output formatter,behat --format pretty|progress Run tests and output results to a file,behat --out path/to/file List the definitions in your test suites,behat --definitions Generate an image from a specific source file,silicon path/to/source_file --output path/to/output_image "Generate an image from a source file with a specific programming language syntax highlighting (e.g. `rust`, `py`, `js`, etc.)",silicon path/to/source_file --output path/to/output_image --language language|extension Generate an image from `stdin`,command | silicon --output path/to/output_image Find files by extension,find root_path -name '*.ext' Find files matching multiple path/name patterns,find root_path -path '**/path/**/*.ext' -or -name '*pattern*' "Find directories matching a given name, in case-insensitive mode",find root_path -type d -iname '*lib*' "Find files matching a given pattern, excluding specific paths",find root_path -name '*.py' -not -path '*/site-packages/*' "Find files matching a given size range, limiting the recursive depth to ""1""",find root_path -maxdepth 1 -size +500k -size -10M Run a command for each file (use `{}` within the command to access the filename),find root_path -name '*.ext' -exec wc -l {} \; Find all files modified today and pass the results to a single command as arguments,find root_path -daystart -mtime -1 -exec tar -cvf archive.tar {} \+ Find empty files (0 byte) or directories and delete them verbosely,find root_path -type f|d -empty -delete -print Import bookmarks from HTML Netscape bookmark format file,shiori import path/to/bookmarks.html Save the specified URL as bookmark,shiori add url List the saved bookmarks,shiori print Open the saved bookmark in a browser,shiori open bookmark_id Start the web interface for managing bookmarks at port 8181,shiori serve --port 8181 Shutdown the daemon without a service manager,pueue shutdown "List all files, including hidden files",dir --all List files including their author (`-l` is required),dir -l --author List files excluding those that match a specified blob pattern,dir --hide=pattern List subdirectories recursively,dir --recursive Display help,dir --help Pull remote image,crane pull image_name path/to/tarball Preserve image reference used to pull as an annotation when used with --format=oci,crane pull image_name path/to/tarball --annotate-ref Path to cache image layers,crane pull image_name path/to/tarball -c|--cache_path path/to/cache Format in which to save images (default 'tarball'),crane pull image_name path/to/tarball -format format_name Display help,crane pull -h|--help Display a simple message,"whiptail --title ""title"" --msgbox ""message"" height_in_chars width_in_chars" "Display a boolean choice, returning the result through the exit code","whiptail --title ""title"" --yesno ""message"" height_in_chars width_in_chars" Customise the text on the yes/no buttons,"whiptail --title ""title"" --yes-button ""text"" --no-button ""text"" --yesno ""message"" height_in_chars width_in_chars" Display a text input box,"result_variable_name=""$(whiptail --title ""title"" --inputbox ""message"" height_in_chars width_in_chars default_text 3>&1 1>&2 2>&3)""" Display a password input box,"result_variable_name=""$(whiptail --title ""title"" --passwordbox ""message"" height_in_chars width_in_chars 3>&1 1>&2 2>&3)""" Display a multiple-choice menu,"result_variable_name=$(whiptail --title ""title"" --menu ""message"" height_in_chars width_in_chars menu_display_height ""value_1"" ""display_text_1"" ""value_n"" ""display_text_n"" ..... 3>&1 1>&2 2>&3)" Dump the `/var/log/wtmp` file to `stdout` as plain text,utmpdump /var/log/wtmp Load a previously dumped file into `/var/log/wtmp`,utmpdump -r dumpfile > /var/log/wtmp Create a commit object with the specified message,"git commit-tree tree -m ""message""" Create a commit object reading the message from a file (use `-` for `stdin`),git commit-tree tree -F path/to/file Create a GPG-signed commit object,"git commit-tree tree -m ""message"" --gpg-sign" Create a commit object with the specified parent commit object,"git commit-tree tree -m ""message"" -p parent_commit_sha" List jobs,aws glue list-jobs Start a job,aws glue start-job-run --job-name job_name Start running a workflow,aws glue start-workflow-run --name workflow_name List triggers,aws glue list-triggers Start a trigger,aws glue start-trigger --name trigger_name Create a dev endpoint,aws glue create-dev-endpoint --endpoint-name name --role-arn role_arn_used_by_endpoint "Generate a UPC image for the specified product type, manufacturer code, and product code",pbmupc product_type manufacturer_code product_code > path/to/output.pbm Use an alternative style that does not display the checksum,pbmupc -s2 product_type manufacturer_code product_code > path/to/output.pbm Create a new repository in a named file,fossil init path/to/filename Start a new game,cuyo Navigate the piece horizontally,A|D|Left arrow key|Right arrow key Turn the piece,W|Up arrow key Hard drop the piece,S|Down arrow key List files contained in an APK archive,aapt list path/to/app.apk "Display an app's metadata (version, permissions, etc.)",aapt dump badging path/to/app.apk Create a new APK archive with files from the specified directory,aapt package -F path/to/app.apk path/to/directory Execute a specific command using the current environment variables,exec command -with -flags List available devices,liquidctl list Initialize all supported devices,sudo liquidctl initialize all Print the status of available liquid coolers,liquidctl status "Match a string in product name to pick a device and set its fan speed to 0% at 20°C, 50% at 50°C and 100% at 70°C",liquidctl --match string set fan speed 20 0 50 50 70 100 Open the filesystem in read only mode,debugfs /dev/sdXN Open the filesystem in read write mode,debugfs -w /dev/sdXN "Read commands from a specified file, execute them and then exit",debugfs -f path/to/cmd_file /dev/sdXN View the filesystem stats in debugfs console,stats Close the filesystem,close -a List all available commands,lr Set up a given swap area,sudo mkswap path/to/file Check a partition for bad blocks before creating the swap area,sudo mkswap -c path/to/file Specify a label for the partition (to allow `swapon` to use the label),sudo mkswap -L label /dev/sda1 Start Minetest in client mode,minetest Start Minetest in server mode by hosting a specific world,minetest --server --world name Write logs to a specific file,minetest --logfile path/to/file Only write errors to the console,minetest --quiet Display a cursor to kill a window when pressing the left mouse button (press any other mouse button to cancel),xkill Display a cursor to select a window to kill by pressing any mouse button,xkill -button any Kill a window with a specific ID (use `xwininfo` to get info about windows),xkill -id id Run a command on a CSV file with a custom delimiter,command -d delimiter path/to/file.csv Run a command on a CSV file with a tab as a delimiter (overrides -d),command -t path/to/file.csv Run a command on a CSV file with a custom quote character,command -q quote_char path/to/file.csv Run a command on a CSV file with no header row,command -H path/to/file.csv Rename files using a Perl Common Regular Expression (substitute 'foo' with 'bar' wherever found),rename 's/foo/bar/' * Dry-run - display which renames would occur without performing them,rename -n 's/foo/bar/' * Force renaming even if the operation would remove existing destination files,rename -f 's/foo/bar/' * "Convert filenames to lower case (use `-f` in case-insensitive filesystems to prevent ""already exists"" errors)",rename 'y/A-Z/a-z/' * Replace whitespace with underscores,rename 's/\s+/_/g' * Increase/decrease the priority of a running [p]rocess,renice -n 3 -p pid Increase/decrease the priority of all processes owned by a [u]ser,renice -n -4 -u uid|user Increase/decrease the priority of all processes that belong to a process [g]roup,renice -n 5 -g process_group Find subdomains for a domain,sublist3r --domain domain_name "Find subdomains for a domain, also enabling brute force search",sublist3r --domain domain_name --bruteforce Save the found subdomains to a text file,sublist3r --domain domain_name --output path/to/output_file Display help,sublist3r --help Convert a PBM image to a compressed GraphOn graphic,pbmtogo path/to/image.pbm > path/to/output.go Detect embedded data in a PNG,zsteg path/to/image.png "Detect embedded data in a BMP image, using all known methods",zsteg --all path/to/image.bmp "Detect embedded data in a PNG, iterating pixels vertically and using MSB first",zsteg --msb --order yx path/to/image.png "Detect embedded data in a BMP image, specifying the bits to consider","zsteg --bits 1,2,3|1-3 path/to/image.bmp" "Detect embedded data in a PNG, extracting only prime pixels and inverting bits",zsteg --prime --invert path/to/image.png "Detect embedded data in a BMP image, specifying the minimum length of the strings to be found and the find mode",zsteg --min-str-len 10 --strings first|all|longest|none path/to/image.bmp Display system information,raspinfo Add a package,ya pack -a package Upgrade all packages,ya pack -u Subscribe to messages from all remote instances,ya sub kinds Publish a message to the current instance with string body,ya pub --str string_message Publish a message to the current instance with JSON body,ya pub --json json_message Publish a message to the specified instance with string body,ya pub-to --str message receiver kind Uninstall a package,pip uninstall package Uninstall packages listed in a specific file,pip uninstall --requirement path/to/requirements.txt Uninstall package without asking for confirmation,pip uninstall --yes package Upload a Google Photos takeout file to Immich server,immich-go -server=server_url -key=server_key upload path/to/takeout_file.zip "Import photos captured on June 2019, while auto-generating albums",immich-go -server=server_url -key=server_key upload -create-albums -google-photos -date=2019-06 path/to/takeout_file.zip Upload a takeout file using server and key from a config file,immich-go -use-configuration=~/.immich-go/immich-go.json upload path/to/takeout_file.zip "Examine Immich server content, remove less quality images, and preserve albums",immich-go -server=server_url -key=server_key duplicate -yes "Delete all albums created with the pattern ""YYYY-MM-DD""",immich-go -server=server_url -key=server_key tool album delete \d{4}-\d{2}-\d{2} "Convert a PAM image to an equivalent PNM image, i.e. a PBM, PGM or PPM image",pamtopnm path/to/image.pam > path/to/output.pbm|pgm|ppm Display version,pamtopnm -version Upload to PyPI,twine upload dist/* Upload to the Test PyPI [r]epository to verify things look right,twine upload -r testpypi dist/* Upload to PyPI with a specified [u]sername and [p]assword,twine upload -u username -p password dist/* Upload to an alternative repository URL,twine upload --repository-url repository_url dist/* Check that your distribution's long description should render correctly on PyPI,twine check dist/* Upload using a specific pypirc configuration file,twine upload --config-file configuration_file dist/* Continue uploading files if one already exists (only valid when uploading to PyPI),twine upload --skip-existing dist/* Upload to PyPI showing detailed information,twine upload --verbose dist/* Apply a patch using a diff file (filenames must be included in the diff file),patch < patch.diff Apply a patch to a specific file,patch path/to/file < patch.diff Patch a file writing the result to a different file,patch path/to/input_file -o path/to/output_file < patch.diff Apply a patch to the current directory,patch -p1 < patch.diff Apply the reverse of a patch,patch -R < patch.diff Dump all information using the given LDAP account,ldapdomaindump --user domain\\administrator --password password|ntlm_hash hostname|ip "Dump all information, resolving computer hostnames",ldapdomaindump --resolve --user domain\\administrator --password password hostname|ip "Dump all information, resolving computer hostnames with the selected DNS server",ldapdomaindump --resolve --dns-server domain_controller_ip --user domain\\administrator --password password hostname|ip Dump all information to the given directory without JSON output,ldapdomaindump --no-json --outdir path/to/directory --user domain\\administrator --password password hostname|ip "Set the default boot entry to an entry number, name or identifier for the next boot",sudo grub-reboot entry_number "Set the default boot entry to an entry number, name or identifier for an alternative boot directory for the next boot",sudo grub-reboot --boot-directory /path/to/boot_directory entry_number Display the client version,travis version "Authenticate the CLI client against the server, using an authentication token",travis login List repositories the user has permissions on,travis repos Encrypt values in `.travis.yml`,travis encrypt token Generate a `.travis.yml` file and enable the project,travis init Search Google for a keyword,googler keyword Search Google and open the first result in web browser,googler -j keyword Show N search results (default 10),googler -n N keyword Disable automatic spelling correction,googler -x keyword Search one site for a keyword,googler -w site keyword Show Google search result in JSON format,googler --json keyword Perform in-place self-upgrade,googler -u Display help in interactive mode,? Convert a PAM image to a TIFF image,pamtotiff path/to/input_file.pam > path/to/output_file.tiff Explicitly specify a compression method for the output file,pamtotiff -none|packbits|lzw|g3|g4|flate|adobeflate path/to/input_file.pam > path/to/output_file.tiff "Always produce a color TIFF image, even if the input image is greyscale",pamtotiff -color path/to/input_file.pam > path/to/output_file.tiff View the factors determining the scheduling priority of all jobs,sprio View the factors determining the specified job's scheduling priority,"sprio --jobs=job_id_1,job_id_2,..." Output additional information,sprio --long View information for the jobs of specified users,"sprio --user=user_name_1,user_name_2,..." Print the weights for each factor determining job scheduling priority,sprio --weights Pretty-print a CSV file in a tabular format,mlr --icsv --opprint cat example.csv Receive JSON data and pretty print the output,"echo '{""hello"":""world""}' | mlr --ijson --opprint cat" Sort alphabetically on a field,mlr --icsv --opprint sort -f field example.csv Sort in descending numerical order on a field,mlr --icsv --opprint sort -nr field example.csv "Convert CSV to JSON, performing calculations and display those calculations",mlr --icsv --ojson put '$newField1 = $oldFieldA/$oldFieldB' example.csv Receive JSON and format the output as vertical JSON,"echo '{""hello"":""world"", ""foo"":""bar""}' | mlr --ijson --ojson --jvstack cat" Filter lines of a compressed CSV file treating numbers as strings,"mlr --prepipe 'gunzip' --csv filter -S '$fieldName =~ ""regular_expression""' example.csv.gz" Check validity of a Logstash configuration,logstash --configtest --config logstash_config.conf Run Logstash using configuration,sudo logstash --config logstash_config.conf Run Logstash with the most basic inline configuration string,sudo logstash -e 'input {} filter {} output {}' Show commits that haven't been pushed,git local-commits Compare files,diff3 path/to/file1 path/to/file2 path/to/file3 "Show all changes, outlining conflicts",diff3 --show-all path/to/file1 path/to/file2 path/to/file3 Start `mytop`,mytop Connect with a specified username and password,mytop -u user -p password Connect with a specified username (the user will be prompted for a password),mytop -u user --prompt Do not show any idle (sleeping) threads,mytop -u user -p password --noidle List all JVM processes,jps List all JVM processes with only PID,jps -q Display the arguments passed to the processes,jps -m Display the full package name of all processes,jps -l Display the arguments passed to the JVM,jps -v Perform a basic Nikto scan against a target host,perl nikto.pl -h 192.168.0.1 Specify the port number when performing a basic scan,perl nikto.pl -h 192.168.0.1 -p 443 Scan ports and protocols with full URL syntax,perl nikto.pl -h https://192.168.0.1:443/ Scan multiple ports in the same scanning session,"perl nikto.pl -h 192.168.0.1 -p 80,88,443" Update to the latest plugins and databases,perl nikto.pl -update Display an image,cacaview path/to/image Create a GPG public and private key interactively,gpg --full-generate-key Sign `doc.txt` without encryption (writes output to `doc.txt.asc`),gpg --clearsign doc.txt Encrypt and sign `doc.txt` for alice@example.com and bob@example.com (output to `doc.txt.gpg`),gpg --encrypt --sign --recipient alice@example.com --recipient bob@example.com doc.txt Encrypt `doc.txt` with only a passphrase (output to `doc.txt.gpg`),gpg --symmetric doc.txt Decrypt `doc.txt.gpg` (output to `stdout`),gpg --decrypt doc.txt.gpg Import a public key,gpg --import public.gpg Export public key for alice@example.com (output to `stdout`),gpg --export --armor alice@example.com Export private key for alice@example.com (output to `stdout`),gpg --export-secret-keys --armor alice@example.com View documentation for the original command,tldr crane copy Start a shell with all dependencies of a package from nixpkgs available,nix develop nixpkgs#pkg Start a development shell for the default package in a flake in the current directory,nix develop "In that shell, configure and build the sources",configurePhase; buildPhase "Listen to a port, wait for an incoming connection and transfer data to STDIO","sudo socat - TCP-LISTEN:8080,fork" Listen on a port using SSL and print to STDOUT,"sudo socat OPENSSL-LISTEN:4433,reuseaddr,cert=./cert.pem,cafile=./ca.cert.pem,key=./key.pem,verify=0 STDOUT" "Create a connection to a host and port, transfer data in STDIO to connected host",sudo socat - TCP4:www.example.com:80 Forward incoming data of a local port to another host and port,"sudo socat TCP-LISTEN:80,fork TCP4:www.example.com:80" Install a global tool (don't use `--global` for local tools),dotnet tool install --global dotnetsay Install tools defined in the local tool manifest,dotnet tool restore Update a specific global tool (don't use `--global` for local tools),dotnet tool update --global tool_name Uninstall a global tool (don't use `--global` for local tools),dotnet tool uninstall --global tool_name List installed global tools (don't use `--global` for local tools),dotnet tool list --global Search tools in NuGet,dotnet tool search search_term Display help,dotnet tool --help List all the files included in an ISO image,isoinfo -f -i path/to/image.iso E[x]tract a specific file from an ISO image and send it out `stdout`,isoinfo -i path/to/image.iso -x /PATH/TO/FILE/INSIDE/ISO.EXT Show header information for an ISO disk image,isoinfo -d -i path/to/image.iso Search `nixpkgs` for a package based on its name or description,nix search nixpkgs search_term... Show description of a package from nixpkgs,nix search nixpkgs#pkg Show all packages available from a flake on github,nix search github:owner/repo Convert a file to the text format and display it to the console,wasm2wat file.wasm Write the output to a given file,wasm2wat file.wasm -o file.wat Compress an executable file in-place,gzexe path/to/executable Decompress a compressed executable in-place (i.e. convert the shell script back to an uncompressed binary),gzexe -d path/to/compressed_executable Align the data of a Zip file on 4-byte boundaries,zipalign 4 path/to/input.zip path/to/output.zip Check that a Zip file is correctly aligned on 4-byte boundaries and display the results in a verbose manner,zipalign -v -c 4 path/to/input.zip Display a list of available services,takeout enable Enable a specific service,takeout enable name Enable a specific service with the default parameters,takeout enable --default name Display a list of enabled services,takeout disable Disable a specific service,takeout disable name Disable all services,takeout disable --all Start a specific container,takeout start container_id Stop a specific container,takeout stop container_id Suspend PulseAudio while running `jackd`,pasuspender -- jackd -d alsa --device hw:0 Enable the ability to commit changes of a previously-locked local file,git unlock path/to/file Compile a source file into a `libtool` object,libtool --mode=compile gcc -c path/to/source.c -o path/to/source.lo Create a library or an executable,libtool --mode=link gcc -o path/to/library.lo path/to/source.lo Automatically set the library path so that another program can use uninstalled `libtool` generated programs or libraries,libtool --mode=execute gdb path/to/program Install a shared library,libtool --mode=install cp path/to/library.la path/to/installation_directory Complete the installation of `libtool` libraries on the system,libtool --mode=finish path/to/installation_dir Delete installed libraries or executables,libtool --mode=uninstall path/to/installed_library.la Delete uninstalled libraries or executables,libtool --mode=clean rm path/to/source.lo path/to/library.la Execute code style fixing,pint Display all files that are changed,pint -v Execute code style linting without applying changes,pint --test Execute code style fixes using a specific configuration file,pint --config path/to/pint.json Execute code style fixes using a specific preset,pint --preset psr12 "Gzip several files at once, using all cores",parallel gzip ::: path/to/file1 path/to/file2 ... "Read arguments from `stdin`, run 4 jobs at once",ls *.txt | parallel -j4 gzip Convert JPEG images to PNG using replacement strings,parallel convert {} {.}.png ::: *.jpg "Parallel xargs, cram as many args as possible onto one command",args | parallel -X command "Break `stdin` into ~1M blocks, feed each block to `stdin` of new command",cat big_file.txt | parallel --pipe --block 1M command Run on multiple machines via SSH,"parallel -S machine1,machine2 command ::: arg1 arg2" Download 4 files simultaneously from a text file containing links showing progress,parallel -j4 --bar --eta wget -q {} :::: path/to/links.txt Print the jobs which `parallel` is running in `stderr`,parallel -t command ::: args Print a file to the console in rainbow colors,lolcat path/to/file Print the result of a text-producing command in rainbow colors,fortune | lolcat Print a file to the console with animated rainbow colors,lolcat -a path/to/file Print a file to the console with 24-bit (truecolor) rainbow colors,lolcat -t path/to/file Show all references in the default remote repository,git ls-remote Show only heads references in the default remote repository,git ls-remote --heads Show only tags references in the default remote repository,git ls-remote --tags Show all references from a remote repository based on name or URL,git ls-remote repository_url Show references from a remote repository filtered by a pattern,"git ls-remote repository_name ""pattern""" Authenticate with and save concourse target,fly --target target_name login --team-name team_name -c https://ci.example.com List targets,fly targets List pipelines,fly -t target_name pipelines Upload or update a pipeline,fly -t target_name set-pipeline --config pipeline.yml --pipeline pipeline_name Unpause pipeline,fly -t target_name unpause-pipeline --pipeline pipeline_name Show pipeline configuration,fly -t target_name get-pipeline --pipeline pipeline_name Update local copy of fly,fly -t target_name sync Destroy pipeline,fly -t target_name destroy-pipeline --pipeline pipeline_name Mount a file system (image or block device) at `/run/media/system/LABEL` where LABEL is the filesystem label or the device name if there is no label,systemd-mount path/to/file_or_device Mount a file system (image or block device) at a specific location,systemd-mount path/to/file_or_device path/to/mount_point "List all local, known block devices with file systems that may be mounted",systemd-mount --list Create an automount point that mounts the actual file system at the time of first access,systemd-mount --automount=yes path/to/file_or_device Unmount one or more devices,systemd-mount --umount path/to/mount_point_or_device1 path/to/mount_point_or_device2 Mount a file system (image or block device) with a specific file system type,systemd-mount --type=file_system_type path/to/file_or_device path/to/mount_point Mount a file system (image or block device) with additional mount options,systemd-mount --options=mount_options path/to/file_or_device path/to/mount_point Print the tldr page for a specific command (hint: this is how you got here!),tldr command Print the tldr page for a specific subcommand,tldr command subcommand "Print the tldr page for a command in the given [L]anguage (if available, otherwise fall back to English)",tldr --language language_code command Print the tldr page for a command from a specific [p]latform,tldr --platform android|common|freebsd|linux|osx|netbsd|openbsd|sunos|windows command [u]pdate the local cache of tldr pages,tldr --update [l]ist all pages for the current platform and `common`,tldr --list [l]ist all available subcommand pages for a command,tldr --list | grep command | column Launch the default merge tool to resolve conflicts,git mergetool List valid merge tools,git mergetool --tool-help Launch the merge tool identified by a name,git mergetool --tool tool_name Don't prompt before each invocation of the merge tool,git mergetool --no-prompt Explicitly use the GUI merge tool (see the `merge.guitool` configuration variable),git mergetool --gui Explicitly use the regular merge tool (see the `merge.tool` configuration variable),git mergetool --no-gui Connect to a remote host,dbclient user@host Connect to a remote host on [p]ort 2222,dbclient user@host -p 2222 Connect to a remote host using a specific [i]dentity key in dropbear format,dbclient -i path/to/key_file user@host Run a command on the remote host with a [t]ty allocation allowing interaction with the remote command,dbclient user@host -t command argument1 argument2 ... Connect and forward [A]gent connections to remote host,dbclient -A user@host Run `sleep 10` and terminate it after 3 seconds,timeout 3s sleep 10 "Send a [s]ignal to the command after the time limit expires (`TERM` by default, `kill -l` to list all signals)",timeout --signal INT|HUP|KILL|... 5s sleep 10 Send [v]erbose output to `stderr` showing signal sent upon timeout,timeout --verbose 0.5s|1m|1h|1d|... command Preserve the exit status of the command regardless of timing out,timeout --preserve-status 1s|1m|1h|1d|... command Send a forceful `KILL` signal after certain duration if the command ignores initial signal upon timeout,timeout --kill-after=5m 30s command Open pyATS shell with a defined Testbed file,pyats shell --testbed-file path/to/testbed.yaml Open pyATS shell with a defined Pickle file,pyats shell --pickle-file path/to/pickle.file Open pyATS with IPython disabled,pyats shell --no-ipython Compare two specific files,xzcmp path/to/file1 path/to/file2 Add a user to a team in an organization,npm team add organization:team username Remove a user from a team,npm team rm organization:team username Create a new team in an organization,npm team create organization:team Delete a team from an organization,npm team destroy organization:team List all teams in an organization,npm team ls organization List all users in a specific team,npm team ls organization:team "Start the interactive mode, in this mode you can enter the commands directly, with autocompletion",iwctl Call general help,iwctl --help Display your Wi-Fi stations,iwctl station list Start looking for networks with a station,iwctl station station scan Display the networks found by a station,iwctl station station get-networks "Connect to a network with a station, if credentials are needed they will be asked",iwctl station station connect network_name Enter the QEMU Monitor interface of a specific virtual machine,qm monitor vm_id Print the options for the currently executing completion,compopt Print the completion options for given command,compopt command Create anomaly monitor,aws ce create-anomaly-monitor --monitor monitor_name --monitor-type monitor_type Create anomaly subscription,aws ce create-anomaly-subscription --subscription subscription_name --monitor-arn monitor_arn --subscribers subscribers Get anomalies,aws ce get-anomalies --monitor-arn monitor_arn --start-time start_time --end-time end_time Get cost and usage,aws ce get-cost-and-usage --time-period start_date/end_date --granularity granularity --metrics metrics Get cost forecast,aws ce get-cost-forecast --time-period start_date/end_date --granularity granularity --metric metric Get reservation utilization,aws ce get-reservation-utilization --time-period start_date/end_date --granularity granularity List cost category definitions,aws ce list-cost-category-definitions Tag resource,aws ce tag-resource --resource-arn resource_arn --tags tags Load a default keymap,loadkeys --default Load default keymap when an unusual keymap is loaded and `-` sign cannot be found,loadkeys defmap Create a kernel source table,loadkeys --mktable Create a binary keymap,loadkeys --bkeymap Search and parse keymap without action,loadkeys --parse Load the keymap suppressing all output,loadkeys --quiet Load a keymap from the specified file for the console,loadkeys --console /dev/ttyN /path/to/file Use standard names for keymaps of different locales,loadkeys --console /dev/ttyN uk Create an EKS Cluster,"aws eks create-cluster --name cluster_name --role-arn eks_service_role_arn --resources-vpc-config subnetIds=subnet_ids,securityGroupIds=security_group_ids" Update kubeconfig to connect to the EKS Cluster,aws eks update-kubeconfig --name cluster_name List available EKS clusters,aws eks list-clusters Describe EKS cluster details,aws eks describe-cluster --name cluster_name Delete an EKS Cluster,aws eks delete-cluster --name cluster_name List nodegroups in an EKS cluster,aws eks list-nodegroups --cluster-name cluster_name Describe nodegroup details,aws eks describe-nodegroup --cluster-name cluster_name --nodegroup-name nodegroup_name Check a file for nits,idnits path/to/file.txt Count nits without displaying them,idnits --nitcount path/to/file.txt Show extra information about offending lines,idnits --verbose path/to/file.txt Expect the specified year in the boilerplate instead of the current year,idnits --year 2021 path/to/file.txt Assume the document is of the specified status,idnits --doctype standard|informational|experimental|bcp|ps|ds path/to/file.txt Initialize an unconfigured repository,transcrypt List the currently encrypted files,git ls-crypt Display the credentials of a configured repository,transcrypt --display Initialize and decrypt a fresh clone of a configured repository,transcrypt --cipher=cipher Rekey to change the encryption cipher or password,transcrypt --rekey "Initialize an Elm project, generates an elm.json file",elm init Start interactive Elm shell,elm repl "Compile an Elm file, output the result to an `index.html` file",elm make source "Compile an Elm file, output the result to a JavaScript file",elm make source --output=destination.js Start local web server that compiles Elm files on page load,elm reactor Install Elm package from ,elm install author/package List all users in the SAM file,chntpw -l path/to/sam_file Edit user interactively,chntpw -u username path/to/sam_file Use chntpw interactively,chntpw -i path/to/sam_file Add a new abbreviation,abbr --add abbreviation_name command command_arguments Rename an existing abbreviation,abbr --rename old_name new_name Erase an existing abbreviation,abbr --erase abbreviation_name Import the abbreviations defined on another host over SSH,ssh host_name abbr --show | source Disable profile,sudo aa-disable path/to/profile1 path/to/profile2 ... Disable profiles in a directory (defaults to `/etc/apparmor.d`),sudo aa-disable --dir path/to/profiles "Force the brightest pixels to be white, the darkest pixels to be black and spread out the ones in between linearly",pnmnorm path/to/image.pnm > path/to/output.pnm "Force the brightest pixels to be white, the darkest pixels to be black and spread out the ones in between quadratically such that pixels with a brightness of `n` become 50 % bright",pnmnorm -midvalue n path/to/image.pnm > path/to/output.pnm "Keep the pixels' hue, only modify the brightness",pnmnorm -keephues path/to/image.pnm > path/to/output.pnm Specify a method to calculate a pixel's brightness,pnmnorm -luminosity|colorvalue|saturation path/to/image.pnm > path/to/output.pnm Copy a virtual machine,qm copy vm_id new_vm_id Copy a virtual machine using a specific name,qm copy vm_id new_vm_id --name name Copy a virtual machine using a specific descriptionn,qm copy vm_id new_vm_id --description description Copy a virtual machine creating a full copy of all disks,qm copy vm_id new_vm_id --full Copy a virtual machine using a specific format for file storage (requires `--full`),qm copy vm_id new_vm_id --full --format qcow2|raw|vmdk Copy a virtual machine then add it to a specific pool,qm copy vm_id new_vm_id --pool pool_name View documentation for the original command,tldr ip route show Crack password hashes,john path/to/hashes.txt Show passwords cracked,john --show path/to/hashes.txt Display users' cracked passwords by user identifier from multiple files,john --show --users=user_ids path/to/hashes1.txt path/to/hashes2.txt ... "Crack password hashes, using a custom wordlist",john --wordlist=path/to/wordlist.txt path/to/hashes.txt List available hash formats,john --list=formats "Crack password hashes, using a specific hash format",john --format=md5crypt path/to/hashes.txt "Crack password hashes, enabling word mangling rules",john --rules path/to/hashes.txt "Restore an interrupted cracking session from a state file, e.g. `mycrack.rec`",john --restore=path/to/mycrack.rec Build the storage pool specified by name or UUID (determine using `virsh pool-list`),virsh pool-build --pool name|uuid Build Caddy server from source,xcaddy build Build Caddy server with a specific version (defaults to latest),xcaddy build version Build Caddy with a specific module,xcaddy build --with module_name Build Caddy and output to a specific file,xcaddy build --output path/to/file Build and run Caddy for a development plugin in the current directory,xcaddy run Build and run Caddy for a development plugin using a specific Caddy config,xcaddy run --config path/to/file Display all extractable information contained in the ELF file,eu-readelf --all path/to/file "Display the contents of all NOTE segments/sections, or of a particular segment/section",eu-readelf --notes[=.note.ABI-tag] path/to/file Search for a package,eix query Search for installed packages,eix --installed query Search in package descriptions,"eix --description ""description""" Search by package license,eix --license license Exclude results from search,eix --not --license license Generate an initramfs image for the current kernel without overriding any options,dracut Generate an initramfs image for the current kernel and overwrite the existing one,dracut --force Generate an initramfs image for a specific kernel,dracut --kver kernel_version List available modules,dracut --list-modules Store a key and a value on the default database,"skate set ""key"" ""value""" Show your keys saved on the default database,skate list Delete key and value from the default database,"skate delete ""key""" Create a new key and value in a new database,"skate set ""key""@""database_name"" ""value""" Show your keys saved in a non default database,"skate list @""database_name""" Delete key and value from a specific database,"skate delete ""key""@""database_name""" Show the databases available,skate list-dbs Delete local db and pull down fresh copy from Charm Cloud,"skate reset @""database_name""" "View last logins, their duration and other information as read from `/var/log/wtmp`",last Specify how many of the last logins to show,last -n login_count Print the full date and time for entries and then display the hostname column last to prevent truncation,last -F -a View all logins by a specific user and show the IP address instead of the hostname,last username -i "View all recorded reboots (i.e., the last logins of the pseudo user ""reboot"")",last reboot "View all recorded shutdowns (i.e., the last logins of the pseudo user ""shutdown"")",last shutdown List all detected input devices,sudo evtest Display events from a specific input device,sudo evtest /dev/input/eventnumber "Grab the device exclusively, preventing other clients from receiving events",sudo evtest --grab /dev/input/eventnumber Query the state of a specific key or button on an input device,sudo evtest --query /dev/input/eventnumber event_type event_code Scan a project with configuration file in your project's root directory named `sonar-project.properties`,sonar-scanner Scan a project using configuration file other than `sonar-project.properties`,sonar-scanner -Dproject.settings=myproject.properties Print debugging information,sonar-scanner -X Display help,sonar-scanner -h Register an existing VM,VBoxManage registervm path/to/filename.vbox Supply the encryption password file of the VM,VBoxManage registervm path/to/filename.vbox --password path/to/password_file Prompt for the encryption password on the command-line,VBoxManage registervm path/to/filename.vbox --password - Convert a graph from `mm` to `gv` format,mm2gv -o output.gv input.mm Convert a graph using `stdin` and `stdout`,cat input.mm | mm2gv > output.gv Display help,mm2gv -? Roll a single 20 sided dice,rolldice d20 Roll two six sided dice and drop the lowest roll,rolldice 2d6s1 Roll two 20 sided dice and add a modifier value,rolldice 2d20+5 Roll a 20 sided dice two times,rolldice 2xd20 Start Visual Studio Code,code Open specific files/directories,code path/to/file_or_directory1 path/to/file_or_directory2 ... Compare two specific files,code --diff path/to/file1 path/to/file2 Open specific files/directories in a new window,code --new-window path/to/file_or_directory1 path/to/file_or_directory2 ... Install/uninstall a specific extension,code --install|uninstall-extension publisher.extension Print installed extensions,code --list-extensions Print installed extensions with their versions,code --list-extensions --show-versions Start the editor as a superuser (root) while storing user data in a specific directory,sudo code --user-data-dir path/to/directory Write a commit-graph file for the packed commits in the repository's local `.git` directory,git commit-graph write Write a commit-graph file containing all reachable commits,git show-ref --hash | git commit-graph write --stdin-commits Write a commit-graph file containing all commits in the current commit-graph file along with those reachable from `HEAD`,git rev-parse HEAD | git commit-graph write --stdin-commits --append Extract all tables from a PDF to a CSV file,tabula -o file.csv file.pdf Extract all tables from a PDF to a JSON file,tabula --format JSON -o file.json file.pdf "Extract tables from pages 1, 2, 3, and 6 of a PDF","tabula --pages 1-3,6 file.pdf" "Extract tables from page 1 of a PDF, guessing which portion of the page to examine",tabula --guess --pages 1 file.pdf "Extract all tables from a PDF, using ruling lines to determine cell boundaries",tabula --spreadsheet file.pdf "Extract all tables from a PDF, using blank space to determine cell boundaries",tabula --no-spreadsheet file.pdf Launch Yazi from the current directory,yazi Print debug information,yazi --debug Write the current working directory on exit to the file,yazi --cwd-file path/to/cwd_file Clear the cache directory,yazi --clear-cache Compress a WebP file with default settings (q = 75) to the [o]utput file,cwebp path/to/image_file -o path/to/output.webp Compress a WebP file with the best [q]uality and largest file size,cwebp path/to/image_file -o path/to/output.webp -q 100 Compress a WebP file with the worst [q]uality and smallest file size,cwebp path/to/image_file -o path/to/output.webp -q 0 Compress a WebP file and apply resize to image,cwebp path/to/image_file -o path/to/output.webp -resize width height Compress a WebP file and drop alpha channel information,cwebp path/to/image_file -o path/to/output.webp -noalpha View documentation for the original command,tldr gh codespace Start the editor,nano Start the editor without using configuration files,nano --ignorercfiles "Open specific files, moving to the next file when closing the previous one",nano path/to/file1 path/to/file2 ... Open a file and position the cursor at a specific line and column,"nano +line,column path/to/file" Open a file and enable soft wrapping,nano --softwrap path/to/file Open a file and indent new lines to the previous line's indentation,nano --autoindent path/to/file Open a file and create a backup file (`path/to/file~`) on save,nano --backup path/to/file Test filename encoding conversion (don't actually change the filename),convmv -f from_encoding -t to_encoding input_file Convert filename encoding and rename the file to the new encoding,convmv -f from_encoding -t to_encoding --notest input_file "Fetch all URLs of a domain from AlienVault's Open Threat Exchange, the Wayback Machine, Common Crawl, and URLScan",gau example.com Fetch URLs of multiple domains,gau domain1 domain2 ... "Fetch all URLs of several domains from an input file, running multiple threads",gau --threads 4 < path/to/domains.txt Write [o]utput results to a file,gau example.com --o path/to/found_urls.txt Search for URLs from only one specific provider,gau --providers wayback|commoncrawl|otx|urlscan example.com Search for URLs from multiple providers,"gau --providers wayback,otx,... example.com" Search for URLs within specific date range,gau --from YYYYMM --to YYYYMM example.com "List all attributes of devices of a bus (eg. `pci`, `usb`). View all buses using `ls /sys/bus`",systool -b bus -v "List all attributes of a class of devices (eg. `drm`, `block`). View all classes using `ls /sys/class`",systool -c class -v "Show only device drivers of a bus (eg. `pci`, `usb`)",systool -b bus -D Update the list of available packages and versions (it's recommended to run this before other `apt-get` commands),apt-get update "Install a package, or update it to the latest available version",apt-get install package Remove a package,apt-get remove package Remove a package and its configuration files,apt-get purge package Upgrade all installed packages to their newest available versions,apt-get upgrade Clean the local repository - removing package files (`.deb`) from interrupted downloads that can no longer be downloaded,apt-get autoclean Remove all packages that are no longer needed,apt-get autoremove "Upgrade installed packages (like `upgrade`), but remove obsolete packages and install additional packages to meet new dependencies",apt-get dist-upgrade List pending updates for AUR packages,checkupdates-aur List pending updates for AUR packages in debug mode,CHECKUPDATES_DEBUG=1 checkupdates-aur Display help,checkupdates-aur --help "Align two or more sequences using megablast (default), with the e-value threshold of 1e-9, pairwise output format (default)",blastn -query query.fa -subject subject.fa -evalue 1e-9 Align two or more sequences using blastn,blastn -task blastn -query query.fa -subject subject.fa "Align two or more sequences, custom tabular output format, output to file",blastn -query query.fa -subject subject.fa -outfmt '6 qseqid qlen qstart qend sseqid slen sstart send bitscore evalue pident' -out output.tsv "Search nucleotide databases using a nucleotide query, 16 threads (CPUs) to use in the BLAST search, with a maximum number of 10 aligned sequences to keep",blastn -query query.fa -db path/to/blast_db -num_threads 16 -max_target_seqs 10 Search the remote non-redundant nucleotide database using a nucleotide query,blastn -query query.fa -db nt -remote Display help (use `-help` for detailed help),blastn -h "Select all elements matching ""XPATH1"" and print the value of their sub-element ""XPATH2""","xml select --template --match ""XPATH1"" --value-of ""XPATH2"" path/to/input.xml|URI" "Match ""XPATH1"" and print the value of ""XPATH2"" as text with new-lines","xml select --text --template --match ""XPATH1"" --value-of ""XPATH2"" --nl path/to/input.xml|URI" "Count the elements of ""XPATH1""","xml select --template --value-of ""count(XPATH1)"" path/to/input.xml|URI" Count all nodes in one or more XML documents,"xml select --text --template --inp-name --output "" "" --value-of ""count(node())"" --nl path/to/input1.xml|URI path/to/input2.xml|URI" Display help,xml select --help Start `mitmproxy` with default settings (will listen on port `8080`),mitmproxy Start `mitmproxy` bound to a custom address and port,mitmproxy --listen-host ip_address -p|--listen-port port Start `mitmproxy` using a script to process traffic,mitmproxy -s|--scripts path/to/script.py "Export the logs with SSL/TLS master keys to external programs (wireshark, etc.)","SSLKEYLOGFILE=""path/to/file"" mitmproxy" Specify mode of operation of the proxy server (`regular` is the default),mitmproxy -m|--mode regular|transparent|socks5|... Set the console layout,mitmproxy --console-layout horizontal|single|vertical Initialize a standard Pest configuration in the current directory,pest --init Run tests in the current directory,pest Run tests annotated with the given group,pest --group name Run tests and print the coverage report to `stdout`,pest --coverage Run tests with coverage and fail if the coverage is less than the minimum percentage,pest --coverage --min=80 Run tests in parallel,pest --parallel Run tests with mutations,pest --mutate Open a dialog box displaying a specific message,"kdialog --msgbox ""message"" ""optional_detailed_message""" "Open a question dialog with a `yes` and `no` button, returning `0` and `1`, respectively","kdialog --yesno ""message""" "Open a warning dialog with a `yes`, `no`, and `cancel` button, returning `0`, `1`, or `2` respectively","kdialog --warningyesnocancel ""message""" Open an input dialog box and print the input to `stdout` when `OK` is pressed,"kdialog --inputbox ""message"" ""optional_default_text""" Open a dialog to prompt for a specific password and print it to `stdout`,"kdialog --password ""message""" Open a dialog containing a specific dropdown menu and print the selected item to `stdout`,"kdialog --combobx ""message"" ""item1"" ""item2"" ""...""" Open a file chooser dialog and print the selected file's path to `stdout`,kdialog --getopenfilename Open a progressbar dialog and print a D-Bus reference for communication to `stdout`,"kdialog --progressbar ""message""" Show the greeter while keeping current desktop session open and waiting to be restored upon authentication by logged in user,dm-tool switch-to-greeter Lock the current session,dm-tool lock "Switch to a specific user, showing an authentication prompt if required",dm-tool switch-to-user username session Add a dynamic seat from within a running LightDM session,dm-tool add-seat xlocal name=value Name a hash,nth -t 5f4dcc3b5aa765d61d8327deb882cf99 Name hashes in a file,nth -f path/to/hashes Print in JSON format,nth -t 5f4dcc3b5aa765d61d8327deb882cf99 -g Decode hash in Base64 before naming it,nth -t NWY0ZGNjM2I1YWE3NjVkNjFkODMyN2RlYjg4MmNmOTkK -b64 Install a package globally and add to path,pixi global install package1 package2 ... Uninstall a package globally,pixi global remove package1 package2 ... List all globally installed packages,pixi global list Update a globally installed package,pixi global upgrade package Update all globally installed packages,pixi global upgrade-all View documentation for the current command,tldr pamtofits Send packets to all devices on the local network (255.255.255.255) by specifying a MAC address,wakeonlan 01:02:03:04:05:06 Send packet to a specific device via IP address,wakeonlan 01:02:03:04:05:06 -i 192.168.178.2 "Print the commands, but don't execute them (dry-run)",wakeonlan -n 01:02:03:04:05:06 Run in quiet mode,wakeonlan -q 01:02:03:04:05:06 Update the list of available packages,upt update Search for a given package,upt search search_term Show information for a package,upt info package Install a given package,upt install package Remove a given package,upt remove|uninstall package Upgrade all installed packages,upt upgrade Upgrade a given package,upt upgrade package List installed packages,upt list List binary packages which would be generated from a RPM spec file,rpmspec --query path/to/rpm.spec List all options for `--queryformat`,rpmspec --querytags Get summary information for single binary packages generated from a RPM spec file,"rpmspec --query --queryformat ""%{name}: %{summary}\n"" path/to/rpm.spec" Get the source package which would be generated from a RPM spec file,rpmspec --query --srpm path/to/rpm.spec Parse a RPM spec file to `stdout`,rpmspec --parse path/to/rpm.spec Analyze logs for a range of dates at a certain level of detail,logwatch --range yesterday|today|all|help --detail low|medium|others' Restrict report to only include information for a selected service,logwatch --range all --service apache|pam_unix|etc Convert JPEG/JFIF image to a PPM or PGM image,jpegtopnm path/to/file.jpg > path/to/file.pnm Display version,jpegtopnm -version List connected Solos,solo ls Update the currently connected Solo's firmware to the latest version,solo key update Blink the LED of a specific Solo,solo key wink --serial serial_number Generate random bytes using the currently connected Solo's secure random number generator,solo key rng raw Monitor the serial output of a Solo,solo monitor path/to/serial_port Run an optimization or analysis on a bitcode file,opt -passname path/to/file.bc -S -o file_opt.bc Output the Control Flow Graph of a function to a `.dot` file,opt -dot-cfg -S path/to/file.bc -disable-output Optimize the program at level 2 and output the result to another file,opt -O2 path/to/file.bc -S -o path/to/output_file.bc Set the symmetric cipher to utilize for encryption,yadm transcrypt --cipher=cipher Pass the password to derive the key from,yadm transcrypt --password=password Assume yes and accept defaults for non-specified options,yadm transcrypt --yes Display the current repository's cipher and password,yadm transcrypt --display Re -encrypt all encrypted files using new credentials,yadm transcrypt --rekey Emulate 20 requests based on a given URL list file per second for 60 seconds,http_load -rate 20 -seconds 60 path/to/urls.txt Emulate 5 concurrent requests based on a given URL list file for 60 seconds,http_load -parallel 5 -seconds 60 path/to/urls.txt "Emulate 1000 requests at 20 requests per second, based on a given URL list file",http_load -rate 20 -fetches 1000 path/to/urls.txt "Emulate 1000 requests at 5 concurrent requests at a time, based on a given URL list file",http_load -parallel 5 -fetches 1000 path/to/urls.txt Convert the specified Usenix FaceSaver file into a PGM image,fstopgm path/to/input.fs > path/to/output.pgm Use symbol definitions from a file,gnatprep source_file target_file definitions_file Specify symbol values in the command-line,gnatprep -Dname=value source_file target_file Make a new draft,zm new Edit a draft,zm edit Publish a draft and commit it with git,zm publish Invoke `cmp` on two files compressed via `gzip`,zcmp path/to/file1.gz path/to/file2.gz Compare a file to its gzipped version (assuming `.gz` exists already),zcmp path/to/file Log out of i3,i3exit logout Lock i3,i3exit lock Shut down the system,i3exit shutdown Suspend the system,i3exit suspend Switch to the login screen to log in as a different user,i3exit switch_user Hibernate the system,i3exit hibernate Reboot the system,i3exit reboot Run tests on all test environments,tox Create a `tox.ini` configuration,tox-quickstart List the available environments,tox --listenvs-all Run tests on a specific environment (e.g. Python 3.6),tox -e py36 Force the virtual environment to be recreated,tox --recreate -e py27 Fetch the F-Droid index,fdroidcl update Display information about an app,fdroidcl show app_id Download the APK file of an app,fdroidcl download app_id Search for an app in the index,fdroidcl search search_pattern Install an app on a connected device,fdroidcl install app_id Add a repository,fdroidcl repo add repo_name url "Remove, enable or disable a repository",fdroidcl repo remove|enable|disable repo_name Compile and link an LLVM based program,clang++ $(llvm-config --cxxflags --ldflags --libs) --output path/to/output_executable path/to/source.cc Print the `PREFIX` of your LLVM installation,llvm-config --prefix Print all targets supported by your LLVM build,llvm-config --targets-built Return a non-zero exit code,false Display help,gh ssh-key List SSH keys for the currently authenticated user,gh ssh-key list Add an SSH key to the currently authenticated user's account,gh ssh-key add path/to/key.pub Add an SSH key to the currently authenticated user's account with a specific title,gh ssh-key add --title title path/to/key.pub Destroy the current project,dvc destroy Force destroy the current project,dvc destroy --force Discard the specified number of columns/rows on each side of the image,pamcut -cropleft value -cropright value -croptop value -cropbottom value path/to/image.ppm > path/to/output.ppm Keep only the columns between the specified columns (inclusively),pamcut -left value -right value path/to/image.ppm > path/to/output.ppm Fill missing areas with black pixels if the specified rectangle does not entirely lie within the input image,pamcut -top value -bottom value -pad path/to/image.ppm > path/to/output.ppm Scan the IP range on the network interface for active hosts,netdiscover -r 172.16.6.0/23 -i ens244 Insert a kernel module into the Linux kernel,insmod path/to/module.ko Run full benchmark,redis-benchmark Run benchmark on a specific Redis server,redis-benchmark -h host -p port -a password Run a subset of tests with default 100000 requests,"redis-benchmark -h host -p port -t set,lpush -n 100000" Run with a specific script,"redis-benchmark -n 100000 script load ""redis.call('set', 'foo', 'bar')""" Run benchmark by using 100000 [r]andom keys,redis-benchmark -t set -r 100000 Run benchmark by using a [P]ipelining of 16 commands,"redis-benchmark -n 1000000 -t set,get -P 16" Run benchmark [q]uietly and only show query per seconds result,redis-benchmark -q Generate random password with s[y]mbols,pwgen -y length "Generate secure, hard-to-memorize passwords",pwgen -s length Generate password with at least one capital letter in them,pwgen -c length Transfer a file,rsync path/to/source path/to/destination "Use archive mode (recursively copy directories, copy symlinks without resolving, and preserve permissions, ownership and modification times)",rsync -a|--archive path/to/source path/to/destination "Compress the data as it is sent to the destination, display verbose and human-readable progress, and keep partially transferred files if interrupted",rsync -zvhP|--compress --verbose --human-readable --partial --progress path/to/source path/to/destination Recursively copy directories,rsync -r|--recursive path/to/source path/to/destination "Transfer directory contents, but not the directory itself",rsync -r|--recursive path/to/source/ path/to/destination "Use archive mode, resolve symlinks, and skip files that are newer on the destination",rsync -auL|--archive --update --copy-links path/to/source path/to/destination Transfer a directory from a remote host running `rsyncd` and delete files on the destination that do not exist on the source,rsync -r|--recursive --delete rsync://host:path/to/source path/to/destination Transfer a file over SSH using a different port than the default (22) and show global progress,rsync -e|--rsh 'ssh -p port' --info=progress2 host:path/to/source path/to/destination Run in a project's directory,cppclean path/to/project Run on a project where the headers are in the `inc1/` and `inc2/` directories,cppclean path/to/project --include-path inc1 --include-path inc2 Run on a specific file `main.cpp`,cppclean main.cpp "Run on the current directory, excluding the ""build"" directory",cppclean . --exclude build Create Vagrantfile in current directory with the base Vagrant box,vagrant init Create Vagrantfile with the Ubuntu 20.04 (Focal Fossa) box from HashiCorp Atlas,vagrant init ubuntu/focal64 Start and provision the vagrant environment,vagrant up Suspend the machine,vagrant suspend Halt the machine,vagrant halt Connect to machine via SSH,vagrant ssh Output the SSH configuration file of the running Vagrant machine,vagrant ssh-config List all local boxes,vagrant box list Install a package from (the version is optional - latest by default),cargo install package@version Install a package from the specified Git repository,cargo install --git repo_url Build from the specified branch/tag/commit when installing from a Git repository,cargo install --git repo_url --branch|tag|rev branch_name|tag|commit_hash List all installed packages and their versions,cargo install --list Synchronize the pkgfile database,sudo pkgfile --update Search for a package that owns a specific file,pkgfile filename List all files provided by a package,pkgfile --list package List executables provided by a package,pkgfile --list --binaries package Search for a package that owns a specific file using case-insensitive matching,pkgfile --ignorecase filename Search for a package that owns a specific file in the `bin` or `sbin` directory,pkgfile --binaries filename "Search for a package that owns a specific file, displaying the package version",pkgfile --verbose filename Search for a package that owns a specific file in a specific repository,pkgfile --repo repository_name filename List printers present on the machine and whether they are enabled for printing,lpstat -p Show the default printer,lpstat -d Display all available status information,lpstat -t List print jobs queued by a specific user,lpstat -u user Enable a virtual host,sudo a2ensite virtual_host Don't show informative messages,sudo a2ensite --quiet virtual_host Discover specific directories and files that match in the wordlist with extensions and 100 threads and a random user-agent,"feroxbuster --url ""https://example.com"" --wordlist path/to/file --threads 100 --extensions ""php,txt"" --random-agent" Enumerate directories without recursion through a specific proxy,"feroxbuster --url ""https://example.com"" --wordlist path/to/file --no-recursion --proxy ""http://127.0.0.1:8080""" Find links in webpages,"feroxbuster --url ""https://example.com"" --extract-links" Filter by a specific status code and a number of chars,"feroxbuster --url ""https://example.com"" --filter-status 301 --filter-size 4092" Convert an image to JPEG XL,cjxl path/to/image.ext path/to/output.jxl Set quality to lossless and maximize compression of the resulting image,cjxl --distance 0 --effort 9 path/to/image.ext path/to/output.jxl Display an extremely detailed help page,cjxl --help --verbose --verbose --verbose --verbose List dependencies with funding URL for the project in the current directory,npm fund Open the funding URL for a specific package in the default web browser,npm fund package List dependencies with a funding URL for a specific [w]orkspace for the project in the current directory,npm fund -w workspace List all aliases,git alias Create a new alias,"git alias ""name"" ""command""" Search for an existing alias,git alias ^name Wrap each line to default width (80 characters),fold path/to/file "Wrap each line to width ""30""",fold -w30 path/to/file "Wrap each line to width ""5"" and break the line at spaces (puts each space separated word in a new line, words with length > 5 are wrapped)",fold -w5 -s path/to/file View documentation for the original command,tldr limactl Analyze a Composer JSON file,composer-require-checker check path/to/composer.json Analyze a Composer JSON file with a specific configuration,composer-require-checker check --config-file path/to/config.json path/to/composer.json Calculate the SHA512 checksum for one or more files,sha512sum path/to/file1 path/to/file2 ... Calculate and save the list of SHA512 checksums to a file,sha512sum path/to/file1 path/to/file2 ... > path/to/file.sha512 Calculate a SHA512 checksum from `stdin`,command | sha512sum Read a file of SHA512 sums and filenames and verify all files have matching checksums,sha512sum --check path/to/file.sha512 Only show a message for missing files or when verification fails,sha512sum --check --quiet path/to/file.sha512 "Only show a message when verification fails, ignoring missing files",sha512sum --ignore-missing --check --quiet path/to/file.sha512 Set the priority of the specified network interface (a higher number indicates lower priority),sudo ifmetric interface value Reset the priority of the specified network interface,sudo ifmetric interface 0 Start the server with the default configuration,traefik Start the server with a custom configuration file,traefik --ConfigFile config_file.toml Start the server with cluster mode enabled,traefik --cluster Start server with web UI enabled,traefik --web Check the status of the specified website,is-up example.com Auto-format a file or entire directory,black path/to/file_or_directory Format the [c]ode passed in as a string,"black -c ""code""" Show whether a file or a directory would have changes made to them if they were to be formatted,black --check path/to/file_or_directory Show changes that would be made to a file or a directory without performing them (dry-run),black --diff path/to/file_or_directory "Auto-format a file or directory, emitting exclusively error messages to `stderr`",black --quiet path/to/file_or_directory "Auto-format a file or directory without replacing single quotes with double quotes (adoption helper, avoid using this for new projects)",black --skip-string-normalization path/to/file_or_directory Advertise/Disable SSH on the host,sudo tailscale up --ssh=true|false SSH to a specific host which has Tailscale-SSH enabled,tailscale ssh username@host Execute a specific expression (print a colored and formatted JSON output),cat path/to/file.json | jq '.' Execute a specific expression only using the `jq` binary (print a colored and formatted JSON output),jq '.' /path/to/file.json Execute a specific script,cat path/to/file.json | jq --from-file path/to/script.jq Pass specific arguments,"cat path/to/file.json | jq --arg ""name1"" ""value1"" --arg ""name2"" ""value2"" ... '. + $ARGS.named'" Print specific keys,"cat path/to/file.json | jq '.key1, .key2, ...'" Print specific array items,"cat path/to/file.json | jq '.[index1], .[index2], ...'" Print all array/object values,cat path/to/file.json | jq '.[]' Add/remove specific keys,"cat path/to/file.json | jq '. +|- {""key1"": ""value1"", ""key2"": ""value2"", ...}'" Create a new Hugo site,hugo new site path/to/site Create a new Hugo theme (themes may also be downloaded from ),hugo new theme theme_name Create a new page,hugo new section_name/page_name Build a site to the `./public/` directory,hugo "Build a site including pages that are marked as a ""draft""",hugo --buildDrafts Build a site on your local IP,hugo server --bind local-ip --baseURL http://local-ip Build a site to a given directory,hugo --destination path/to/destination "Build a site, start up a webserver to serve it, and automatically reload when pages are edited",hugo server Analyze live traffic from a network interface,sudo zeek --iface interface Analyze live traffic from a network interface and load custom scripts,sudo zeek --iface interface script1 script2 "Analyze live traffic from a network interface, without loading any scripts",sudo zeek --bare-mode --iface interface "Analyze live traffic from a network interface, applying a `tcpdump` filter",sudo zeek --filter path/to/filter --iface interface Analyze live traffic from a network interface using a watchdog timer,sudo zeek --watchdog --iface interface Analyze traffic from a PCAP file,zeek --readfile path/to/file.trace Check the CI status for this branch,hub ci-status --verbose Display status of GitHub checks for a commit,hub ci-status --verbose commit_SHA Start the server,minetestserver List available worlds,minetestserver --world list Load the specified world,minetestserver --world world_name List the available game IDs,minetestserver --gameid list Use the specified game,minetestserver --gameid game_id Listen on a specific port,minetestserver --port 34567 Migrate to a different data backend,minetestserver --migrate sqlite3|leveldb|redis Start an interactive terminal after starting the server,minetestserver --terminal Parse and execute a PHP script,php path/to/file Check syntax on (i.e. lint) a PHP script,php -l path/to/file Run PHP interactively,php -a Run PHP code (Notes: Don't use tags; escape double quotes with backslash),"php -r ""code""" Start a PHP built-in web server in the current directory,php -S host:port List installed PHP extensions,php -m Display information about the current PHP configuration,php -i Display information about a specific function,php --rf function_name Serve an `index.html` file and reload on changes,live-server Specify a port (default is 8080) from which to serve a file,live-server --port=8081 Specify a given file to serve,live-server --open=about.html Proxy all requests for ROUTE to URL,live-server --proxy=/:http:localhost:3000 Download a prebuilt binary for the current system from a repository on GitHub,eget zyedidia/micro Download from a URL,eget https://go.dev/dl/go1.17.5.linux-amd64.tar.gz Specify the location to place the downloaded files,eget zyedidia/micro --to=path/to/directory Specify a Git tag instead of using the latest version,eget zyedidia/micro --tag=v2.0.10 Install the latest pre-release instead of the latest stable version,eget zyedidia/micro --pre-release "Only download the asset, skipping extraction",eget zyedidia/micro --download-only Only download if there is a newer release then the currently downloaded version,eget zyedidia/micro --upgrade-only Initialize it inside an existing Git repository,git flow init Start developing on a feature branch based on `develop`,git flow feature start feature "Finish development on a feature branch, merging it into the `develop` branch and deleting it",git flow feature finish feature Publish a feature to the remote server,git flow feature publish feature Get a feature published by another user,git flow feature pull origin feature List available chroots,schroot --list Run a command in a specific chroot,schroot --chroot chroot command Run a command with options in a specific chroot,schroot --chroot chroot command -- command_options Run a command in all available chroots,schroot --all command Start an interactive shell within a specific chroot as a specific user,schroot --chroot chroot --user user Begin a new session (a unique session ID is returned on `stdout`),schroot --begin-session --chroot chroot Connect to an existing session,schroot --run-session --chroot session_id End an existing session,schroot --end-session --chroot session_id Convert a QOI image to Netpbm,qoitopam path/to/image.qoi > path/to/output.pnm Log in to your Snyk account,snyk auth Test your code for any known vulnerabilities,snyk test Test a local Docker image for any known vulnerabilities,snyk test --docker docker_image Record the state of dependencies and any vulnerabilities on snyk.io,snyk monitor Auto patch and ignore vulnerabilities,snyk wizard View documentation for pages related to standard streams,tldr ifne|mispipe|pee|sponge|vipe|vidir View documentation for other pages,tldr combine|errno|ifdata|isutt8|lckdo|parallel|zrun [c]reate an archive and write it to a [f]ile,tar cf path/to/target.tar path/to/file1 path/to/file2 ... [c]reate a g[z]ipped archive and write it to a [f]ile,tar czf path/to/target.tar.gz path/to/file1 path/to/file2 ... [c]reate a g[z]ipped (compressed) archive from a directory using relative paths,tar czf path/to/target.tar.gz --directory=path/to/directory . E[x]tract a (compressed) archive [f]ile into the current directory [v]erbosely,tar xvf path/to/source.tar[.gz|.bz2|.xz] E[x]tract a (compressed) archive [f]ile into the target directory,tar xf path/to/source.tar[.gz|.bz2|.xz] --directory=path/to/directory "[c]reate a compressed archive and write it to a [f]ile, using the file extension to [a]utomatically determine the compression program",tar caf path/to/target.tar.xz path/to/file1 path/to/file2 ... Lis[t] the contents of a tar [f]ile [v]erbosely,tar tvf path/to/source.tar E[x]tract files matching a pattern from an archive [f]ile,"tar xf path/to/source.tar --wildcards ""*.html""" Install a package from the repository or from a local RPM file,sudo urpmi package|path/to/file.rpm Download a package without installing it,urpmi --no-install package Update all installed packages (run `urpmi.update -a` to get the available updates),sudo urpmi --auto-select Update a package of one or more machines on the network according to `/etc/urpmi/parallel.cfg`,sudo urpmi --parallel local package Mark all orphaned packages as manually installed,sudo urpmi $(urpmq --auto-orphans -f) Log in with Google SSO using the specified [u]sername [I]DP and [S]P identifiers and set the credentials [d]uration to one hour,aws-google-auth -u example@example.com -I $GOOGLE_IDP_ID -S $GOOGLE_SP_ID -d 3600 Log in [a]sking which role to use (in case of several available SAML roles),aws-google-auth -u example@example.com -I $GOOGLE_IDP_ID -S $GOOGLE_SP_ID -d 3600 -a Resolve aliases for AWS accounts,aws-google-auth -u example@example.com -I $GOOGLE_IDP_ID -S $GOOGLE_SP_ID -d 3600 -a --resolve-aliases Display help,aws-google-auth -h Exchange the first color in each `oldcolor` - `newcolor` pair with the second color,ppmchange oldcolor1 newcolor1 oldcolor2 newcolor2 ... path/to/input.ppm > path/to/output.ppm Specify how similar colors must be in order to be considered the same,ppmchange -closeness percentage oldcolor1 newcolor1 oldcolor2 newcolor2 ... path/to/input.ppm > path/to/output.ppm Replace all pixels not specified in the arguments by a color,ppmchange -remainder color oldcolor1 newcolor1 oldcolor2 newcolor2 ... path/to/input.ppm > path/to/output.ppm Generate a PGM image containing white noise,pgmnoise width height > path/to/output.pgm Specify the seed for the pseudo-random number generator,pgmnoise width height -randomseed value > path/to/output.pgm Continuously read barcodes and print them to `stdout`,zbarcam Disable output video window while scanning,zbarcam --nodisplay Print barcodes without type information,zbarcam --raw Define capture device,zbarcam /dev/video_device Save output to a file,httpry -o path/to/file.log Listen on a specific interface and save output to a binary PCAP format file,httpry eth0 -b path/to/file.pcap Filter output by a comma-separated list of HTTP verbs,httpry -m get|post|put|head|options|delete|trace|connect|patch Read from an input capture file and filter by IP,httpry -r path/to/file.log 'host 192.168.5.25' Run as daemon process,httpry -d -o path/to/file.log Convert a graph from `gv` to `gxl` format,gv2gxl -o output.gxl input.gv Convert a graph using `stdin` and `stdout`,cat input.gv | gv2gxl > output.gxl Display help,gv2gxl -? Disable a module,sudo a2dismod module Don't show informative messages,sudo a2dismod --quiet module Open the current directory in WebStorm,webstorm Open a specific directory in WebStorm,webstorm path/to/directory Open specific files in the LightEdit mode,webstorm -e path/to/file1 path/to/file2 ... Open and wait until done editing a specific file in the LightEdit mode,webstorm --wait -e path/to/file Open a file with the cursor at the specific line,webstorm --line line_number path/to/file Open and compare files (supports up to 3 files),webstorm diff path/to/file1 path/to/file2 path/to/optional_file3 Open and perform a three-way merge,webstorm merge path/to/left_file path/to/right_file path/to/target_file Highlight source code from a file with the Python lexer and output to `stdout`,chroma --lexer python path/to/source_file.py Highlight source code from a file with the Go lexer and output to an HTML file,chroma --lexer go --formatter html path/to/source_file.go > path/to/target_file.html "Highlight source code from `stdin` with the C++ lexer and output to an SVG file, using the Monokai style",command | chroma --lexer c++ --formatter svg --style monokai > path/to/target_file.svg "List available lexers, styles and formatters",chroma --list Scan for volume groups and print information about each group found,sudo vgscan "Scan for volume groups and add the special files in `/dev`, if they don't already exist, needed to access the logical volumes in the found groups",sudo vgscan --mknodes Create a new Gist from one or more files,gh gist create path/to/file1 path/to/file2 ... Create a new Gist with a specific [desc]ription,"gh gist create path/to/file1 path/to/file2 ... --desc ""description""" Edit a Gist,gh gist edit id|url List up to 42 Gists owned by the currently logged in user,gh gist list --limit 42 View a Gist in the default browser without rendering Markdown,gh gist view id|url --web --raw View the pinout information and GPIO header diagram for the current Raspberry Pi,pinout Open in the default browser,pinout -x Scan a file or directory for vulnerabilities,clamdscan path/to/file_or_directory Scan data from `stdin`,command | clamdscan - Scan the current directory and output only infected files,clamdscan --infected Print the scan report to a log file,clamdscan --log path/to/log_file Move infected files to a specific directory,clamdscan --move path/to/quarantine_directory Remove infected files,clamdscan --remove Use multiple threads to scan a directory,clamdscan --multiscan Pass the file descriptor instead of streaming the file to the daemon,clamdscan --fdpass Add a new task to a board,tb --task task_description @board_name Add a new note to a board,tb --note note_description @board_name Edit item's priority,tb --priority @item_id priority Check/uncheck item,tb --check item_id Archive all checked items,tb --clear Move item to a board,tb --move @item_id board_name Synchronize the Portage tree,ego sync Update the bootloader configuration,ego boot update Read a Funtoo wiki page by name,ego doc wiki_page Print current profile,ego profile show Enable/Disable mix-ins,ego profile mix-in +gnome -kde-plasma-5 "Query Funtoo bugs, related to a specified package",ego query bug package Crack the password,"bully --bssid ""mac"" --channel ""channel"" --bruteforce ""interface""" Display help,bully --help Create a new project,pipenv Create a new project using Python 3,pipenv --three Install a package,pipenv install package Install all the dependencies for a project,pipenv install Install all the dependencies for a project (including dev packages),pipenv install --dev Uninstall a package,pipenv uninstall package Start a shell within the created virtual environment,pipenv shell Generate a `requirements.txt` (list of dependencies) for a project,pipenv lock --requirements View documentation for the original command,tldr bat View documentation for the original command,tldr nm Create a `lost+found` directory in the current directory,mklost+found Pass options to `rustdoc`,cargo rustdoc -- rustdoc_options Warn about a documentation lint,cargo rustdoc -- --warn rustdoc::lint_name Ignore a documentation lint,cargo rustdoc -- --allow rustdoc::lint_name Document the package's library,cargo rustdoc --lib Document the specified binary,cargo rustdoc --bin name Document the specified example,cargo rustdoc --example name Document the specified integration test,cargo rustdoc --test name "Run a Shadowsocks proxy by specifying the host, server port, local port, password, and encryption method",ss-local -s host -p server_port -l local port -k password -m encrypt_method Run a Shadowsocks proxy by specifying the configuration file,ss-local -c path/to/config/file.json Use a plugin to run the proxy client,ss-local --plugin plugin_name --plugin-opts plugin_options Enable TCP fast open,ss-local --fast-open Convert a GIF image to a Netpbm image pixel-for-pixel,giftopnm path/to/input.gif > path/to/output.pnm Display version,giftopnm -version Convert a FITS file to a PNM image,fitstopnm path/to/file.fits > path/to/output.pnm Convert the image on the specified position of the third axis in the FITS file,fitstopnm -image z_position path/to/file.fits > path/to/output.pnm Start one or more destination(s),cupsenable destination1 destination2 ... Resume printing of pending jobs of a destination (use after `cupsdisable` with `--hold`),cupsenable --release destination Cancel all jobs of the specified destination(s),cupsenable -c destination1 destination2 ... Synchronize and update all packages (includes AUR),pacaur -Syu Synchronize and update only AUR packages,pacaur -Syua Install a new package (includes AUR),pacaur -S package Remove a package and its dependencies (includes AUR packages),pacaur -Rs package Search the package database for a keyword (includes AUR),pacaur -Ss keyword List all currently installed packages (includes AUR packages),pacaur -Qs Get the actual file to which the symlink points,readlink path/to/file Get the absolute path to a file,readlink -f path/to/file Initialize opam for first use,opam init Search for packages,opam search query Install a package and all of its dependencies,opam install package Display detailed information about a package,opam show package List all installed packages,opam list Update the local package database,opam update Upgrade all installed packages,opam upgrade Display help,opam help Replace the specified text in the current repository,git sed 'find_text' 'replace_text' Replace the specified text and then commit the resulting changes with a standard commit message,git sed -c 'find_text' 'replace_text' "Replace the specified text, using regular expressions",git sed -f g 'find_text' 'replace_text' Replace a specific text in all files under a given directory,git sed 'find_text' 'replace_text' -- path/to/directory Display help,btrfs version --help Display btrfs-progs version,btrfs version Show graph for a specific interface,speedometer -r eth0 -t eth0 Create a new Hatch project,hatch new project_name Initialize Hatch for an existing project,hatch new --init Build a Hatch project,hatch build Remove build artifacts,hatch clean Create a default environment with dependencies defined in the `pyproject.toml` file,hatch env create Show environment dependencies as a table,hatch dep show table Capture traffic on all interfaces,httpflow -i any Use a bpf-style capture to filter the results,httpflow host httpbin.org or host baidu.com Use a regular expression to filter requests by URLs,httpflow -u 'regular_expression' Read packets from PCAP format binary file,httpflow -r out.cap Write the output to a directory,httpflow -w path/to/directory Import a VMDK/qcow2/raw disk image using a specific storage name,qm importdisk vm_id path/to/disk storage_name --format qcow2|raw|vmdk Search for a package name and descriptions of all locally installed packages from a specific regular expression,"tlmgr search ""regular_expression""" Search for all file names of all locally installed packages from a regular expression,"tlmgr search --file ""regular_expression""" "Search for all file names, package names, and descriptions of all locally installed packages from a regular expression","tlmgr search --all ""regular_expression""" "Search the TeX Live database, instead of the local installation","tlmgr search --global ""regular_expression""" Restrict the matches for package names and descriptions (but not for file names) to whole words,"tlmgr search --all --word ""regular_expression""" Connect to Tailscale,sudo tailscale up Connect and offer the current machine to be an exit node for internet traffic,sudo tailscale up --advertise-exit-node Connect using a specific node for internet traffic,sudo tailscale up --exit-node=exit_node_ip Connect and block incoming connections to the current node,sudo tailscale up --shields-up Connect and don't accept DNS configuration from the admin panel (defaults to `true`),sudo tailscale up --accept-dns=false Connect and configure Tailscale as a subnet router,"sudo tailscale up --advertise-routes=10.0.0.0/24,10.0.1.0/24,..." Connect and accept subnet routes from Tailscale,sudo tailscale up --accept-routes Reset unspecified settings to their default values and connect,sudo tailscale up --reset Connect to the default host (irc.ofct.net) with the nickname set in the `$USER` environment variable,sic "Connect to a given host, using a given nickname",sic -h host -n nickname "Connect to a given host, using a given nickname and password",sic -h host -n nickname -k password Join a channel,:j #channel Send a message to a channel or user,:m #channel|user Set default channel or user,:s #channel|user Define two virtual desktops,bspc monitor --reset-desktops desktop_name1 desktop_name2 Focus the given desktop,bspc desktop --focus number Close the windows rooted at the selected node,bspc node --close Send the selected node to the given desktop,bspc node --to-desktop number Toggle full screen mode for the selected node,bspc node --state ~fullscreen Set the value of a specific setting,bspc config setting_name value Update Oh My Zsh,omz update Print the changes from the latest update of Oh My Zsh,omz changelog Restart the current Zsh session and Oh My Zsh,omz reload List all available plugins,omz plugin list Enable/Disable an Oh My Zsh plugin,omz plugin enable|disable plugin List all available themes,omz theme list Set an Oh My Zsh theme in `~/.zshrc`,omz theme set theme Temporarily stop the execution of a virtual machine,VBoxManage controlvm uuid|vm_name pause Resume the execution of a paused virtual machine,VBoxManage controlvm uuid|vm_name resume Perform a cold reset on the virtual machine,VBoxManage controlvm uuid|vm_name reset Poweroff a virtual machine with the same effect as pulling the power cable of a computer,VBoxManage controlvm uuid|vm_name poweroff Shutdown the virtual machine and save its current state,VBoxManage controlvm uuid|vm_name savestate Send an ACPI (Advanced Configuration and Power Interface) shutdown signal to the virtual machine,VBoxManage controlvm uuid|vm_name acpipowerbutton Send command to reboot itself to the guest OS,VBoxManage controlvm uuid|vm_name reboot Shutdown down the virtual machine without saving its state,VBoxManage controlvm uuid|vm_name shutdown Show the status of a running or paused balance operation,sudo btrfs balance status path/to/btrfs_filesystem Balance all block groups (slow; rewrites all blocks in filesystem),sudo btrfs balance start path/to/btrfs_filesystem "Balance data block groups which are less than 15% utilized, running the operation in the background",sudo btrfs balance start --bg -dusage=15 path/to/btrfs_filesystem Balance a max of 10 metadata chunks with less than 20% utilization and at least 1 chunk on a given device `devid` (see `btrfs filesystem show`),"sudo btrfs balance start -musage=20,limit=10,devid=devid path/to/btrfs_filesystem" Convert data blocks to the raid6 and metadata to raid1c3 (see mkfs.btrfs(8) for profiles),sudo btrfs balance start -dconvert=raid6 -mconvert=raid1c3 path/to/btrfs_filesystem "Convert data blocks to raid1, skipping already converted chunks (e.g. after a previous cancelled conversion operation)","sudo btrfs balance start -dconvert=raid1,soft path/to/btrfs_filesystem" "Cancel, pause, or resume a running or paused balance operation",sudo btrfs balance cancel|pause|resume path/to/btrfs_filesystem "Start a bisect session on a commit range bounded by a known buggy commit, and a known clean (typically older) one",git bisect start bad_commit good_commit "For each commit that `git bisect` selects, mark it as ""bad"" or ""good"" after testing it for the issue",git bisect good|bad "After `git bisect` pinpoints the faulty commit, end the bisect session and return to the previous branch",git bisect reset Skip a commit during a bisect (e.g. one that fails the tests due to a different issue),git bisect skip Display a log of what has been done so far,git bisect log Execute the command from a local or remote `npm` package,npx command argument1 argument2 ... "In case multiple commands with the same name exist, it is possible to explicitly specify the package",npx --package package command Run a command if it exists in the current path or in `node_modules/.bin`,npx --no-install command argument1 argument2 ... Execute a specific command suppressing any output from `npx` itself,npx --quiet command argument1 argument2 ... Display help,npx --help Run a CPU benchmark with 1 thread for 10 seconds,sysbench cpu run Run a CPU benchmark with multiple threads for a specified time,sysbench --threads=number_of_threads --time=seconds Run a memory benchmark with 1 thread for 10 seconds,sysbench memory run Prepare a filesystem-level read benchmark,sysbench fileio prepare Run a filesystem-level benchmark,sysbench --file-test-mode=rndrd|rndrw|rndwr|seqrd|seqrewr|seqwr fileio run Mark a package as automatically installed,sudo apt-mark auto package Hold a package at its current version and prevent updates to it,sudo apt-mark hold package Allow a package to be updated again,sudo apt-mark unhold package Show manually installed packages,apt-mark showmanual Show held packages that aren't being updated,apt-mark showhold Get pod details (from current namespace),findpod Get pod details (from all namespaces),findpod -a Describe a pod,describepod Tail pod logs,tailpod Exec into a pod's container,execpod shell_command Port-forward a pod,pfpod port_number List partitions on all block devices,sudo parted --list Start interactive mode with the specified disk selected,sudo parted /dev/sdX Create a new partition table of the specified label-type,sudo parted --script /dev/sdX mklabel aix|amiga|bsd|dvh|gpt|loop|mac|msdos|pc98|sun Show partition information in interactive mode,print Select a disk in interactive mode,select /dev/sdX Create a 16 GB partition with the specified filesystem in interactive mode,mkpart primary|logical|extended btrfs|ext2|ext3|ext4|fat16|fat32|hfs|hfs+|linux-swap|ntfs|reiserfs|udf|xfs 0% 16G Resize a partition in interactive mode,resizepart /dev/sdXN end_position_of_partition Remove a partition in interactive mode,rm /dev/sdXN Create a shaded scatter plot of points and save it to a PNG file and set the background color,datashader_cli points path/to/input.parquet --x pickup_x --y pickup_y path/to/output.png --background black|white|#rrggbb "Visualize the geospatial data (supports Geoparquet, shapefile, geojson, geopackage, etc.)",datashader_cli points path/to/input_data.geo.parquet path/to/output_data.png --geo true Use matplotlib to render the image,datashader_cli points path/to/input_data.geo.parquet path/to/output_data.png --geo true --matplotlib true Display the current configuration (or dry run),authconfig --test Configure the server to use a different password hashing algorithm,authconfig --update --passalgo=algorithm Enable LDAP authentication,authconfig --update --enableldapauth Disable LDAP authentication,authconfig --update --disableldapauth Enable Network Information Service (NIS),authconfig --update --enablenis Enable Kerberos,authconfig --update --enablekrb5 Enable Winbind (Active Directory) authentication,authconfig --update --enablewinbindauth Enable local authorization,authconfig --update --enablelocauthorize Start an SSH Agent for the current shell,eval $(ssh-agent) Kill the currently running agent,ssh-agent -k Run all default health checks for `npm`,npm doctor Check the connection to the `npm` registry,npm doctor connection Check the versions of Node.js and `npm` in use,npm doctor versions Check for permissions issues with `npm` directories and cache,npm doctor permissions Validate the cached package files and checksums,npm doctor cache "Load-test ""example.com"" with web interface using locustfile.py",locust --host=http://example.com Use a different test file,locust --locustfile=test_file.py --host=http://example.com "Run test without web interface, spawning 1 user a second until there are 100 users",locust --no-web --clients=100 --hatch-rate=1 --host=http://example.com Start Locust in master mode,locust --master --host=http://example.com Connect Locust slave to master,locust --slave --host=http://example.com Connect Locust slave to master on a different machine,locust --slave --master-host=master_hostname --host=http://example.com View documentation for the original command,tldr iptables-save Remove a logical volume in a volume group,sudo lvremove volume_group/logical_volume Remove all logical volumes in a volume group,sudo lvremove volume_group List gadgets in the binary file,ropper --file path/to/binary Filter gadgets in the binary file by a regular expression,ropper --file path/to/binary --search regex List gadgets of specified type in the binary file,ropper --file path/to/binary --type rop|job|sys|all Exclude bad byte gadgets in the binary file,ropper --file path/to/binary --badbytes byte_string List gadgets up to the specified instruction count in the binary file,ropper --file path/to/binary --inst-count count Purge and process manual pages,mandb Update a single entry,mandb --filename path/to/file Create entries from scratch instead of updating,mandb --create Only process user databases,mandb --user-db Do not purge obsolete entries,mandb --no-purge Check the validity of manual pages,mandb --test Install local `.deb` packages resolving and installing its dependencies,gdebi path/to/package.deb Do not show progress information,gdebi path/to/package.deb --quiet Set an APT configuration option,gdebi path/to/package.deb --option=APT_OPTS Use alternative root dir,gdebi path/to/package.deb --root=path/to/root_dir Display version,gdebi --version Start an interactive Lua shell,luajit Execute a Lua script,luajit path/to/script.lua --optional-argument Execute a Lua expression,"luajit -e 'print(""Hello World"")'" View documentation for the original command,tldr xzgrep Print lines from `stdin` [m/] matching regex1 and case insensitive [/i] regex2,perl -n -e 'print if m/regex1/ and m/regex2/i' "Say [-E] first match group, using a regexp, ignoring space in regex [/x]",perl -n -E 'say $1 if m/before ( group_regex ) after/x' "[-i]n-place, with backup, [s/] substitute all occurrence [/g] of regex with replacement",perl -i'.bak' -p -e 's/regex/replacement/g' path/to/files "Use perl's inline documentation, some pages also available via manual pages on Linux",perldoc perlrun ; perldoc module ; perldoc -f splice; perldoc -q perlfaq1 Commit changes with a generated message,git magic [a]dd untracked files and commit changes with a generated message,git magic -a Commit changes with a custom [m]essage,"git magic -m ""custom_commit_message""" [e]dit the commit [m]essage before committing,"git magic -em ""custom_commit_message""" Commit changes and [p]ush to remote,git magic -p Commit changes with a [f]orce [p]ush to remote,git magic -fp Reformat all `.scala` files in the current directory recursively,scalafmt Reformat specific files or directories with a custom formatting configuration,scalafmt --config path/to/.scalafmt.conf path/to/file_or_directory path/to/file_or_directory ... "Check if files are correctly formatted, returning `0` if all files respect the formatting style",scalafmt --config path/to/.scalafmt.conf --test Exclude files or directories,scalafmt --exclude path/to/file_or_directory ... Format only files that were edited against the current Git branch,scalafmt --config path/to/.scalafmt.conf --mode diff Create the ESLint configuration file,eslint --init Lint one or more files,eslint path/to/file1.js path/to/file2.js ... Fix lint issues,eslint --fix Lint using the specified configuration file,eslint -c path/to/config_file path/to/file1.js path/to/file2.js Run the previous command replacing `string1` with `string2`,^string1^string2 Remove `string1` from the previous command,^string1^ Replace `string1` with `string2` in the previous command and add `string3` to its end,^string1^string2^string3 Replace all occurrences of `string1`,^string1^string2^:& Display the whole control group hierarchy on your system,systemd-cgls Display a control group tree of a specific resource controller,systemd-cgls cpu|memory|io Display the control group hierarchy of one or more systemd units,systemd-cgls --unit unit1 unit2 ... Show all performance counters related to the execution of `slurmctld`,sdiag --all Reset performance counters related to the execution of `slurmctld`,sdiag --reset Specify the output format,sdiag --all --json|yaml Specify the cluster to send commands to,sdiag --all --cluster=cluster_name Print the specified number of words,lorem -n 20 Print 10 lines of Goethe's Faust,lorem -l 10 --faust Print 5 sentences of Poe's Raven,lorem -s 5 --raven Print 40 random characters from Boccaccio's Decameron,lorem --randomize -c 40 --decamerone Display the running processes of a container,docker top container Display help,docker top --help Display the man page for `fprintd`,man fprintd Report a bug about the whole system,apport-bug Report a bug about a specific package,apport-bug package Report a bug about a specific executable,apport-bug path/to/executable Report a bug about a specific process,apport-bug PID Store Git credentials in a specific file,git config credential.helper 'store --file=path/to/file' Compare two images,magick compare path/to/image1.png path/to/image2.png path/to/diff.png Compare two images using the specified metric,magick compare -verbose -metric PSNR path/to/image1.png path/to/image2.png path/to/diff.png Read a page from the ArchWiki,archwiki-rs read-page page_title Read a page from the ArchWiki in the specified format,archwiki-rs read-page page_title --format plain-text|markdown|html Search the ArchWiki for pages containing the provided text,"archwiki-rs search ""search_text"" --text-search" Download a local copy of all ArchWiki pages into a specific directory,archwiki-rs local-wiki /path/to/local_wiki --format plain-text|markdown|html Start tunnel from a specific port,lt --port 8000 Specify the upstream server doing the forwarding,lt --port 8000 --host host Request a specific subdomain,lt --port 8000 --subdomain subdomain Print basic request info,lt --port 8000 --print-requests Open the tunnel URL in the default web browser,lt --port 8000 --open List all branches (local and remote; the current branch is highlighted by `*`),git branch --all List which branches include a specific Git commit in their history,git branch --all --contains commit_hash Show the name of the current branch,git branch --show-current Create new branch based on the current commit,git branch branch_name Create new branch based on a specific commit,git branch branch_name commit_hash Rename a branch (must not have it checked out to do this),git branch -m|--move old_branch_name new_branch_name Delete a local branch (must not have it checked out to do this),git branch -d|--delete branch_name Delete a remote branch,git push remote_name --delete remote_branch_name "Locate binary, source and man pages for SSH",whereis ssh Locate binary and man pages for ls,whereis -bm ls Locate source of gcc and man pages for Git,whereis -s gcc -m git Locate binaries for gcc in `/usr/bin/` only,whereis -b -B /usr/bin/ -f gcc Locate unusual binaries (those that have more or less than one binary on the system),whereis -u * Locate binaries that have unusual manual entries (binaries that have more or less than one manual installed),whereis -u -m * Display certificate information,openssl x509 -in filename.crt -noout -text Display a certificate's expiration date,openssl x509 -enddate -noout -in filename.pem Convert a certificate between binary DER encoding and textual PEM encoding,openssl x509 -inform der -outform pem -in original_certificate_file -out converted_certificate_file Store a certificate's public key in a file,openssl x509 -in certificate_file -noout -pubkey -out output_file Rename an existing Git tag locally and remotely,git rename-tag old_tag_name new_tag_name Capture packets and display information about wireless network(s) on the 2.4GHz band,sudo airodump-ng interface Capture packets and display information about wireless network(s) on the 5GHz band,sudo airodump-ng interface --band a Capture packets and display information about wireless network(s) on both 2.4GHz and 5GHz bands,sudo airodump-ng interface --band abg "Capture packets and display information about a wireless network given the MAC address and channel, and save the output to a file",sudo airodump-ng --channel channel --write path/to/file --bssid mac interface View documentation for the original command,tldr docker rename "Extract a file from an archive, replacing the original file if it exists",gunzip archive.tar.gz Extract a file to a target destination,gunzip --stdout archive.tar.gz > archive.tar Extract a file and keep the archive file,gunzip --keep archive.tar.gz List the contents of a compressed file,gunzip --list file.txt.gz Decompress an archive from `stdin`,cat path/to/archive.gz | gunzip Start Krita,krita Open specific files,krita path/to/image1 path/to/image2 ... Start without a splash screen,krita --nosplash Start with a specific workspace,krita --workspace Animation Start in fullscreen mode,krita --fullscreen Connect to a GlobalProtect VPN using a portal server,gpclient connect vpn_gateway_url Disconnect from the currently connected VPN server,gpclient disconnect Launch the graphical user interface (GUI) for VPN management,gpclient launch-gui Use OpenSSL workaround to bypass legacy renegotiation errors,gpclient connect --fix-openssl vpn_gateway_url Ignore TLS errors during connection,gpclient connect --ignore-tls-errors vpn_gateway_url Display version,gpclient --version Display help for any command,gpclient help command View documentation for the original command,tldr zstd List available scanners to ensure the target device is connected and recognized,scanimage -L Scan an image and save it to a file,scanimage --format=pnm|tiff|png|jpeg > path/to/new_image Display an image on the command-line,imgcat path/to/file Convert a PBM image into a UNIX plot file,pbmtoplot path/to/image.pbm > path/to/output.plot Take a picture,fswebcam filename Take a picture with custom resolution,fswebcam -r widthxheight filename Take a picture from selected device(Default is `/dev/video0`),fswebcam -d device filename Take a picture with timestamp(timestamp string is formatted by strftime),fswebcam --timestamp timestamp filename Initialize a new Flutter project in a directory of the same name,flutter create project_name Check if all external tools are correctly installed,flutter doctor List or change Flutter channel,flutter channel stable|beta|dev|master Run Flutter on all started emulators and connected devices,flutter run -d all Run tests in a terminal from the root of the project,flutter test test/example_test.dart Build a release APK targeting most modern smartphones,"flutter build apk --target-platform android-arm,android-arm64" Display help about a specific command,flutter help command Display help for a specific command,qm help command Display help for a specific command with detailed information,qm help command --verbose true|false Validate the current commit,core-validate-commit Validate a specific commit,core-validate-commit commit_hash Validate a range of commits,git rev-list commit_hash..HEAD | xargs core-validate-commit List all validation rules,core-validate-commit --list List all valid Node.js subsystems,core-validate-commit --list-subsystem Validate the current commit formatting the output in tap format,core-validate-commit --tap Display help,core-validate-commit --help Set the currently focused window opacity to a specific percentage,picom-trans --current --opacity 90 Set the opacity of a window with a specific name,picom-trans --name Firefox --opacity 90 Set the opacity of a specific window selected via mouse cursor,picom-trans --select --opacity 90 Toggle the opacity of a specific window,picom-trans --name Firefox --toggle Display shared library dependencies of a binary,ldd path/to/binary Display all information about dependencies,ldd --verbose path/to/binary Display unused direct dependencies,ldd --unused path/to/binary Report missing data objects and perform data relocations,ldd --data-relocs path/to/binary "Report missing data objects and functions, and perform relocations for both",ldd --function-relocs path/to/binary Add a new configuration,jf config add Show the current configuration,jf config show Search for artifacts within the given repository and directory,jf rt search --recursive repostiory_name/path/ View documentation for the original command,tldr npm run Run a single query,"dolt sql --query ""INSERT INTO t values (1, 3);""" List all saved queries,dolt sql --list-saved Encrypt a directory into `archive.gpg` using a passphrase,gpg-zip --symmetric --output archive.gpg path/to/directory Decrypt `archive.gpg` into a directory of the same name,gpg-zip --decrypt path/to/archive.gpg List the contents of the encrypted `archive.gpg`,gpg-zip --list-archive path/to/archive.gpg Start a REPL (interactive shell),ipython Enter an interactive IPython session after running a Python script,ipython -i script.py Create default IPython profile,ipython profile create Print the path to the directory for the default IPython profile,ipython locate profile "Clear the IPython history database, deleting all entries",ipython history clear Load a new kernel,kexec -l path/to/kernel --initrd=path/to/initrd --command-line=arguments Load a new kernel with current boot parameters,kexec -l path/to/kernel --initrd=path/to/initrd --reuse-cmdline Execute a currently loaded kernel,kexec -e Unload current kexec target kernel,kexec -u Grep a pattern in a compressed file (case-sensitive),zgrep pattern path/to/compressed/file Grep a pattern in a compressed file (case-insensitive),zgrep -i pattern path/to/compressed/file Output count of lines containing matched pattern in a compressed file,zgrep -c pattern path/to/compressed/file Display the lines which don’t have the pattern present (Invert the search function),zgrep -v pattern path/to/compressed/file Grep a compressed file for multiple patterns,"zgrep -e ""pattern_1"" -e ""pattern_2"" path/to/compressed/file" "Use extended regular expressions (supporting `?`, `+`, `{}`, `()` and `|`)",zgrep -E regular_expression path/to/file "Print 3 lines of [C]ontext around, [B]efore, or [A]fter each match",zgrep -C|B|A 3 pattern path/to/compressed/file Launch OBS,obs Launch OBS in portable mode,obs --portable Automatically start recording a video on launch,obs --startrecording Automatically start the replay buffer on launch,obs --startreplaybuffer Automatically start streaming on launch,obs --startstreaming Minimise to the system tray on launch,obs --minimize-to-tray Make the log more verbose (for debugging),obs --verbose Apply the Bentley Effect on a PGM image,pgmbentley path/to/input_file.pgm > path/to/output_file.pgm View documentation for the original command,tldr systemd-mount Retrieve current status of the jail service,fail2ban-client status jail Remove the specified IP from the jail service's ban list,fail2ban-client set jail unbanip ip Verify fail2ban server is alive,fail2ban-client ping Retry a command until it succeeds,retry command Retry a command every n seconds until it succeeds,retry --delay=n command Give up after n attempts,retry --times=n command Display information about all logical volumes,sudo lvdisplay Display information about all logical volumes in volume group vg1,sudo lvdisplay vg1 Display information about logical volume lv1 in volume group vg1,sudo lvdisplay vg1/lv1 Show a quick summary overview of the cluster,sinfo --summarize View the detailed status of all partitions across the entire cluster,sinfo View the detailed status of a specific partition,sinfo --partition partition_name View information about idle nodes,sinfo --states idle Summarise dead nodes,sinfo --dead List dead nodes and the reasons why,sinfo --list-reasons Produce a relief of the specified PPM image,ppmrelief path/to/input_file.ppm > path/to/output_file.ppm Start a local meshname DNS server,meshnamed Convert an IPv6 address into a meshname,meshnamed -getname 200:6fc8:9220:f400:5cc2:305a:4ac6:967e Convert a meshname to an IPv6 address,meshnamed -getip aiag7sesed2aaxgcgbnevruwpy Search for a package in your current sources,apt-cache search query Show information about a package,apt-cache show package Show whether a package is installed and up to date,apt-cache policy package Show dependencies for a package,apt-cache depends package Show packages that depend on a particular package,apt-cache rdepends package View documentation for the original command,tldr docker rm Edit the contents of the specified directories,vidir path/to/directory1 path/to/directory2 ... Display each action taken by the program,vidir --verbose path/to/directory1 path/to/directory2 ... Edit the contents of current directory,vidir Use the specified text editor,EDITOR=vim vidir path/to/directory1 path/to/directory2 ... Read a list of files to edit from `stdin`,command | vidir - Move a file from local to a specified bucket,aws s3 mv path/to/local_file s3://bucket_name/path/to/remote_file Move a specific S3 object into another bucket,aws s3 mv s3://bucket_name1/path/to/file s3://bucket_name2/path/to/target Move a specific S3 object into another bucket keeping the original name,aws s3 mv s3://bucket_name1/path/to/file s3://bucket_name2 Display help,aws s3 mv help Display a message in full-screen,"sm ""Hello World!""" Display a message with inverted colors,"sm -i ""Hello World!""" Display a message with a custom foreground color,"sm -f blue ""Hello World!""" Display a message with a custom background color,"sm -b #008888 ""Hello World!""" "Display a message rotated 3 times (in steps of 90 degrees, counterclockwise)","sm -r 3 ""Hello World!""" Display a message using the output from another command,"echo ""Hello World!"" | sm -" Compress a file,lz4 path/to/file Decompress a file,lz4 -d file.lz4 Decompress a file and write to `stdout`,lz4 -dc file.lz4 Package and compress a directory and its contents,tar cvf - path/to/directory | lz4 - dir.tar.lz4 Decompress and unpack a directory and its contents,lz4 -dc dir.tar.lz4 | tar -xv Compress a file using the best compression,lz4 -9 path/to/file Send an email using the default account configured in `~/.msmtprc`,"echo ""Hello world"" | msmtp to@example.org" Send an email using a specific account configured in `~/.msmtprc`,"echo ""Hello world"" | msmtp --account=account_name to@example.org" Send an email without a configured account. The password should be specified in the `~/.msmtprc` file,"echo ""Hello world"" | msmtp --host=localhost --port=999 --from=from@example.org to@example.org" Interactively log into a registry,docker login Log into a registry with a specific username (user will be prompted for a password),docker login --username username Log into a registry with username and password,docker login --username username --password password server Log into a registry with password from `stdin`,"echo ""password"" | docker login --username username --password-stdin" Connect to Tailscale,sudo tailscale up Disconnect from Tailscale,sudo tailscale down Display the current Tailscale IP addresses,tailscale ip Ping a peer node at the Tailscale layer and display which route it took for each response,tailscale ping ip|hostname Analyze the local network conditions and display the result,tailscale netcheck Start a web server for controlling Tailscale,tailscale web Display a shareable identifier to help diagnose issues,tailscale bugreport Display help for a subcommand,tailscale subcommand --help "Display properties about a specific file such as size, permissions, creation and access dates among others",stat path/to/file "Display properties about a specific file such as size, permissions, creation and access dates among others without labels",stat --terse path/to/file Display information about the filesystem where a specific file is located,stat --file-system path/to/file Show only octal file permissions,"stat --format=""%a %n"" path/to/file" Show the owner and group of a specific file,"stat --format=""%U %G"" path/to/file" Show the size of a specific file in bytes,"stat --format=""%s %n"" path/to/file" "List files and directories in the current directory, one per line, with details",vdir "List with sizes displayed in human-readable units (KB, MB, GB)",vdir -h List including hidden files (starting with a dot),vdir -a List files and directories sorting entries by size (largest first),vdir -S List files and directories sorting entries by modification time (newest first),vdir -t List grouping directories first,vdir --group-directories-first Recursively list all files and directories in a specific directory,vdir --recursive path/to/directory Create a copy of the default configuration in your current working directory,yolo task=init "Train the object detection, instance segment, or classification model with the specified configuration file",yolo task=detect|segment|classify mode=train cfg=path/to/config.yaml Search and list packages from Hackage,cabal list search_string Show information about a package,cabal info package Download and install a package,cabal install package Create a new Haskell project in the current directory,cabal init Build the project in the current directory,cabal build Run tests of the project in the current directory,cabal test Print an `A` or `AAAA` record associated with a hostname or IP address,ahost example.com Display some extra debugging output,ahost -d example.com Display the record with a specified type,ahost -t a|aaaa|u example.com Add a program to Steam library,steamos-add-to-steam path/to/file Execute 100 HTTP GET requests to a given URL,ab -n 100 url "Execute 100 HTTP GET requests, in concurrent batches of 10, to a URL",ab -n 100 -c 10 url "Execute 100 HTTP POST requests to a URL, using a JSON payload from a file",ab -n 100 -T application/json -p path/to/file.json url "Use HTTP [k]eep-Alive, i.e. perform multiple requests within one HTTP session",ab -k url Set the maximum number of seconds ([t]imeout) to spend for benchmarking (30 by default),ab -t 60 url Write the results to a CSV file,ab -e path/to/file.csv Show the highscores,snake4scores Scan file and output strings to `messages.po`,xgettext path/to/input_file Use a different output filename,xgettext --output path/to/output_file path/to/input_file Append new strings to an existing file,xgettext --join-existing --output path/to/output_file path/to/input_file Don't add a header containing metadata to the output file,xgettext --omit-header path/to/input_file Download changes from default remote repository and merge it,git pull Download changes from default remote repository and use fast-forward,git pull --rebase "Download changes from given remote repository and branch, then merge them into HEAD",git pull remote_name branch Initialize a new project,pixi init path/to/project Add project dependencies,pixi add dependency1 dependency2 ... Start a pixi shell in the project environment,pixi shell Run a task in the project environment,pixi run task Manage tasks in the project environment,pixi task command Print the help message,pixi command --help Clean environment and task cache,pixi clean Test if a given variable is equal/not equal to the specified string,"[[ $variable ==|!= ""string"" ]]" Test if a given string conforms the specified glob/regex,[[ $variable ==|=~ pattern ]] Test if a given variable is [eq]ual/[n]ot [e]qual/[g]reater [t]han/[l]ess [t]han/[g]reater than or [e]qual/[l]ess than or [e]qual to the specified number,[[ $variable -eq|ne|gt|lt|ge|le integer ]] Test if the specified variable has a [n]on-empty value,[[ -n $variable ]] Test if the specified variable has an empty value,[[ -z $variable ]] Test if the specified [f]ile exists,[[ -f path/to/file ]] Test if the specified [d]irectory exists,[[ -d path/to/directory ]] Test if the specified file or directory [e]xists,[[ -e path/to/file_or_directory ]] Start a proxy and save all output to a file,mitmdump -w path/to/file Filter a saved traffic file to just POST requests,"mitmdump -nr input_filename -w output_filename ""~m post""" Replay a saved traffic file,mitmdump -nc path/to/file Find lines that match pattern in a PDF,pdfgrep pattern file.pdf Include file name and page number for each matched line,pdfgrep --with-filename --page-number pattern file.pdf "Do a case-insensitive search for lines that begin with ""foo"" and return the first 3 matches",pdfgrep --max-count 3 --ignore-case '^foo' file.pdf Find pattern in files with a `.pdf` extension in the current directory recursively,pdfgrep --recursive pattern Find pattern on files that match a specific glob in the current directory recursively,pdfgrep --recursive --include '*book.pdf' pattern List all process IDs with given name,pidof bash List a single process ID with given name,pidof -s bash List process IDs including scripts with given name,pidof -x script.py Kill all processes with given name,kill $(pidof name) View documentation for the current command,tldr pamarith Set the screen temperature to 3000K,gummy --temperature 3000 Set the screen backlight to 50%,gummy --backlight 50 Set the screen pixel brightness to 45%,gummy --brightness 45 Increase current screen pixel brightness by 10%,gummy --brightness +10 Decrease current screen pixel brightness by 10%,gummy --brightness -10 Set the temperature and pixel brightness for the second screen,gummy --screen 1 --temperature 3800 --brightness 65 Commit staged files to the repository with a message,"git commit --message ""message""" Commit staged files with a message read from a file,git commit --file path/to/commit_message_file Auto stage all modified and deleted files and commit with a message,"git commit --all --message ""message""" Commit staged files and sign them with the specified GPG key (or the one defined in the configuration file if no argument is specified),"git commit --gpg-sign key_id --message ""message""" "Update the last commit by adding the currently staged changes, changing the commit's hash",git commit --amend Commit only specific (already staged) files,git commit path/to/file1 path/to/file2 ... "Create a commit, even if there are no staged files","git commit --message ""message"" --allow-empty" "Archive a file, replacing it with with a compressed version",lzip path/to/file "Archive a file, keeping the input file",lzip -k path/to/file Archive a file with the best compression (level=9),lzip -k path/to/file --best Archive a file at the fastest speed (level=0),lzip -k path/to/file --fast Test the integrity of compressed file,lzip --test path/to/archive.lz "Decompress a file, replacing it with the original uncompressed version",lzip -d path/to/archive.lz "Decompress a file, keeping the archive",lzip -d -k path/to/archive.lz List files which are in an archive and show compression stats,lzip --list path/to/archive.lz View documentation for the original command,tldr apport-bug "Build a package from nixpkgs, symlinking the result to `./result`",nix build nixpkgs#pkg "Build a package from a flake in the current directory, showing the build logs in the process",nix build -L .#pkg Build the default package from a flake in some directory,nix build ./path/to/directory "Build a package without making the `result` symlink, instead printing the store path to the `stdout`",nix build --no-link --print-out-paths Tag a Git repo and signing the resulting link file,in-toto-run -n tag --products . -k key_file -- git tag v1.0 "Create a tarball, storing files as materials and the tarball as product",in-toto-run -n package -m project -p project.tar.gz -- tar czf project.tar.gz project Generate signed attestations for review work,in-toto-run -n review -k key_file -m document.pdf -x Scan the image using Trivy and generate link file,"in-toto-run -n scan -k key_file -p report.json -- /bin/sh -c ""trivy -o report.json -f json """ Print the fifth column (a.k.a. field) in a space-separated file,awk '{print $5}' path/to/file "Print the second column of the lines containing ""foo"" in a space-separated file",awk '/foo/ {print $2}' path/to/file "Print the last column of each line in a file, using a comma (instead of space) as a field separator","awk -F ',' '{print $NF}' path/to/file" Sum the values in the first column of a file and print the total,awk '{s+=$1} END {print s}' path/to/file Print every third line starting from the first line,awk 'NR%3==1' path/to/file Print different values based on conditions,"awk '{if ($1 == ""foo"") print ""Exact match foo""; else if ($1 ~ ""bar"") print ""Partial match bar""; else print ""Baz""}' path/to/file" Print all the lines which the 10th column value is between a min and a max,awk '($10 >= min_value && $10 <= max_value)' "Print table of users with UID >=1000 with header and formatted output, using colon as separator (`%-20s` mean: 20 left-align string characters, `%6s` means: 6 right-align string characters)","awk 'BEGIN {FS="":"";printf ""%-20s %6s %25s\n"", ""Name"", ""UID"", ""Shell""} $4 >= 1000 {printf ""%-20s %6d %25s\n"", $1, $4, $7}' /etc/passwd" Install a new package,yum install package "Install a new package and assume yes to all questions (also works with update, great for automated updates)",yum -y install package Find the package that provides a particular command,yum provides command Remove a package,yum remove package Display available updates for installed packages,yum check-update Upgrade installed packages to the newest available versions,yum upgrade Create a backup containing all resources,velero backup create backup_name List all backups,velero backup get Delete a backup,velero backup delete backup_name "Create a weekly backup, each living for 90 days (2160 hours)","velero schedule create schedule_name --schedules=""@every 7d"" --ttl 2160h0m0s" Create a restore from the latest successful backup triggered by specific schedule,velero restore create --from-schedule schedule_name Generate a coverage report named `file.cpp.gcov`,gcov path/to/file.cpp Write individual execution counts for every basic block,gcov --all-blocks path/to/file.cpp Write branch frequencies to the output file and print summary information to `stdout` as a percentage,gcov --branch-probabilities path/to/file.cpp "Write branch frequencies as the number of branches taken, rather than the percentage",gcov --branch-counts path/to/file.cpp Do not create a `gcov` output file,gcov --no-output path/to/file.cpp Write file level as well as function level summaries,gcov --function-summaries path/to/file.cpp List every item,todo.sh ls Add an item with project and context tags,todo.sh add 'description +project @context' Mark an item as [do]ne,todo.sh do item_no Remove an item,todo.sh rm item_no Set an item's [pri]ority (A-Z),todo.sh pri item_no priority Replace an item,todo.sh replace item_no 'new_description' Synchronize and update all AUR packages,trizen -Syua Install a new package,trizen -S package Remove a package and its dependencies,trizen -Rs package Search the package database for a keyword,trizen -Ss keyword Show information about a package,trizen -Si package List installed packages and versions,trizen -Qe Combine labels equal in their high-order bits,ipaggmanip --prefix 16 path/to/file Remove labels with a count smaller than a given number of bytes and output a random sample of such labels,ipaggmanip --cut-smaller 100 --cull-labels 5 path/to/file Replace each label's count with 1 if it is non-zero,ipaggmanip --posterize path/to/file Slice a Netpbm image such that the resulting tiles have the specified height and width,pamdice -outstem path/to/filename_stem -height value -width value path/to/input.ppm Make the produced pieces overlap by the specified amount horizontally and vertically,pamdice -outstem path/to/filename_stem -height value -width value -hoverlap value -voverlap value path/to/input.ppm Update the list of available packages and versions (it's recommended to run this before other `apt` commands),sudo apt update Search for a given package,apt search package Show information for a package,apt show package "Install a package, or update it to the latest available version",sudo apt install package Remove a package (using `purge` instead also removes its configuration files),sudo apt remove package Upgrade all installed packages to their newest available versions,sudo apt upgrade List all packages,apt list List installed packages,apt list --installed Open a given serial port,sudo cu --line /dev/ttyUSB0 Open a given serial port with a given baud rate,sudo cu --line /dev/ttyUSB0 --speed 115200 Open a given serial port with a given baud rate and echo characters locally (half-duplex mode),sudo cu --line /dev/ttyUSB0 --speed 115200 --halfduplex "Open a given serial port with a given baud rate, parity, and no hardware or software flow control",sudo cu --line /dev/ttyUSB0 --speed 115200 --parity=even|odd|none --nortscts --nostop Exit the `cu` session when in connection,~. "Display general help, including the list of subcommands",xml --help "Execute a subcommand with input from a file or URI, printing to `stdout`",xml subcommand options path/to/input.xml|URI Execute a subcommand using `stdin` and `stdout`,xml subcommand options Execute a subcommand with input from a file or URI and output to a file,xml subcommand options path/to/input.xml|URI > path/to/output Display help for a specific subcommand,xml subcommand --help Display version,xml --version List devices,rfkill Filter by columns,"rfkill -o ID,TYPE,DEVICE" "Block devices by type (e.g. bluetooth, wlan)",rfkill block bluetooth "Unblock devices by type (e.g. bluetooth, wlan)",rfkill unblock wlan Output in JSON format,rfkill -J Convert an XPM image to a PPM image,xpmtoppm path/to/input_file.xpm > path/to/output_file.ppm Store the transparency mask of the input image in the specified file,xpmtoppm --alphaout path/to/alpha_file.pbm path/to/input_file.xpm > path/to/output_file.ppm List available databases,dict -D Get information about a database,dict -i database_name Look up a word in a specific database,dict -d database_name word Look up a word in all available databases,dict word Show information about the DICT server,dict -I View documentation for the original command,tldr nmtui Validate a device by checking the files in a given directory,f3read path/to/mount_point Start the server and serve the rendered `README` file of a current directory,grip Start the server and serve a specific Markdown file,grip path/to/file.md Start the server and open the `README` file of the current directory in the browser,grip --browser Start the server in the specified port and serve the rendered `README` file of the current directory,grip port Open the interactive menu for timezone selection and print the selected timezone to `stdout`,tzselect Ask for nearest timezone to coordinates in ISO 6709 notation,tzselect -c coordinates Scan the project’s dependencies for known vulnerabilities,npm audit Automatically fix vulnerabilities in the project's dependencies,npm audit fix Force an automatic fix to dependencies with vulnerabilities,npm audit fix -f|--force Update the lock file without modifying the `node_modules` directory,npm audit fix --package-lock-only Perform a dry run. Simulate the fix process without making any changes,npm audit fix --dry-run Output audit results in JSON format,npm audit --json Configure the audit to only fail on vulnerabilities above a specified severity,npm audit --audit-level=info|low|moderate|high|critical Query the A record of a (sub)domain and show [re]sponse received,echo example.com | dnsx -a -re "Query all the DNS records (A, AAAA, CNAME, NS, TXT, SRV, PTR, MX, SOA, AXFR, CAA)",dnsx -recon -re <<< example.com Query a specific type of DNS record,echo example.com | dnsx -re -a|aaaa|cname|ns|txt|srv|ptr|mx|soa|any|axfr|caa Output [r]esponse [o]nly (do not show the queried domain or subdomain),echo example.com | dnsx -ro "Display raw response of a query, specifying [r]esolvers to use and retry attempts for failures","echo example.com | dnsx -debug|raw -resolver 1.1.1.1,8.8.8.8,... -retry number" Brute force DNS records using a placeholder,dnsx -domain FUZZ.example.com -wordlist path/to/wordlist.txt -re "Brute force DNS records from a list of [d]omains and wordlists, appending [o]utput to a file with [n]o [c]olor codes",dnsx -domain path/to/domain.txt -wordlist path/to/wordlist.txt -re -output path/to/output.txt -no-color "Extract `CNAME` records for the given list of subdomains, with [r]ate [l]imiting DNS queries per second",subfinder -silent -d example.com | dnsx -cname -re -rl number List hosts belonging to a group,ansible group --list-hosts Ping a group of hosts by invoking the ping [m]odule,ansible group -m ping Display facts about a group of hosts by invoking the setup [m]odule,ansible group -m setup Execute a command on a group of hosts by invoking command module with arguments,ansible group -m command -a 'my_command' Execute a command with administrative privileges,ansible group --become --ask-become-pass -m command -a 'my_command' Execute a command using a custom inventory file,ansible group -i inventory_file -m command -a 'my_command' List the groups in an inventory,ansible localhost -m debug -a 'var=groups.keys()' Print a full list of committers to `stdout` instead of to the `AUTHORS` file,git authors --list Append the list of committers to the `AUTHORS` file and open it in the default editor,git authors "Append the list of committers, excluding emails, to the `AUTHORS` file and open it in the default editor",git authors --no-email List existing pipelines on nf-core,nf-core list Create a new pipeline skeleton,nf-core create Lint the pipeline code,nf-core lint path/to/directory Bump software versions in pipeline recipe,nf-core bump-version path/to/directory new_version Launch an nf-core pipeline,nf-core launch pipeline_name Download an nf-core pipeline for offline use,nf-core download pipeline_name Show the environment,env Run a program. Often used in scripts after the shebang (#!) for looking up the path to the program,env program Clear the environment and run a program,env -i program Remove variable from the environment and run a program,env -u variable program Set a variable and run a program,env variable=value program Set one or more variables and run a program,env variable1=value variable2=value variable3=value program Restore any files deleted since the last commit,git checkout-index --all Restore any files deleted or changed since the last commit,git checkout-index --all --force "Restore any files changed since the last commit, ignoring any files that were deleted",git checkout-index --all --force --no-create Export a copy of the entire tree at the last commit to the specified directory (the trailing slash is important),git checkout-index --all --force --prefix=path/to/export_directory/ Convert a graph from `gv` to `gml` format,gv2gml -o output.gml input.gv Convert a graph using `stdin` and `stdout`,cat input.gv | gv2gml > output.gml Display help,gv2gml -? List Azure Advisor configuration for the entire subscription,az advisor configuration list Show Azure Advisor configuration for the given subscription or resource group,az advisor configuration show --resource_group resource_group List Azure Advisor recommendations,az advisor recommendation list Enable Azure Advisor recommendations,az advisor recommendation enable --resource_group resource_group Disable Azure Advisor recommendations,az advisor recommendation disable --resource_group resource_group Add a single target file to the index,dvc add path/to/file Add a target directory to the index,dvc add path/to/directory Recursively add all the files in a given target directory,dvc add --recursive path/to/directory Add a target file with a custom `.dvc` filename,dvc add --file custom_name.dvc path/to/file "Convert blanks in each file to tabs, writing to `stdout`",unexpand path/to/file "Convert blanks to tabs, reading from `stdout`",unexpand "Convert all blanks, instead of just initial blanks",unexpand -a path/to/file Convert only leading sequences of blanks (overrides -a),unexpand --first-only path/to/file "Have tabs a certain number of characters apart, not 8 (enables -a)",unexpand -t number path/to/file Check terminal's openness to write messages,mesg Disallow receiving messages from the write command,mesg n Allow receiving messages from the write command,mesg y Shear a PNM image by the specified angle,pnmshear angle path/to/input.pnm > path/to/output.pnm Specify the color of the background in the sheared image,pnmshear -background blue angle path/to/input.pnm > path/to/output.pnm Do not perform anti-aliasing,pnmshear -noantialias angle path/to/input.pnm > path/to/output.pnm Submit a batch job,sbatch path/to/job.sh Submit a batch job with a custom name,sbatch --job-name=myjob path/to/job.sh Submit a batch job with a time limit of 30 minutes,sbatch --time=00:30:00 path/to/job.sh Submit a job and request multiple nodes,sbatch --nodes=3 path/to/job.sh Get all secrets,doppler secrets Get value(s) of one or more secrets,doppler secrets get secrets Upload a secrets file,doppler secrets upload path/to/file.env Delete value(s) of one or more secrets,doppler secrets delete secrets Download secrets as `.env`,doppler secrets download --format=env --no-file > path/to/.env Deploy the current directory,now Display a list of deployments,now list Display information related to a deployment,now inspect deployment_url Remove a deployment,now remove deployment_id Log in into an account or create a new one,now login Initialize an example project (a new directory will be created),now init Apply the default style of 4 spaces per indent and no formatting changes,astyle source_file Apply the Java style with attached braces,astyle --style=java path/to/file Apply the allman style with broken braces,astyle --style=allman path/to/file Apply a custom indent using spaces. Choose between 2 and 20 spaces,astyle --indent=spaces=number_of_spaces path/to/file Apply a custom indent using tabs. Choose between 2 and 20 tabs,astyle --indent=tab=number_of_tabs path/to/file Show information about the system firmware and the bootloaders,bootctl status Show all available bootloader entries,bootctl list Set a flag to boot into the system firmware on the next boot (similar to `sudo systemctl reboot --firmware-setup`),sudo bootctl reboot-to-firmware true "Specify the path to the EFI system partition (defaults to `/efi/`, `/boot/` or `/boot/efi`)",bootctl --esp-path=/path/to/efi_system_partition/ Install `systemd-boot` into the EFI system partition,sudo bootctl install Remove all installed versions of `systemd-boot` from the EFI system partition,sudo bootctl remove List untagged images,gcrane gc repository Whether to recurse through repositories,gcrane gc repository -r|--recursive Display help,gcrane gc -h|--help Generate an image using only `n_colors` or less colors as close as possible to the input image,pnmcolormap n_colors path/to/input.pnm > path/to/output.ppm "Use the splitspread strategy for determining the output colors, possibly producing a better result for images with small details",pnmcolormap -splitspread n_colors path/to/input.pnm > path/to/output.ppm "Sort the resulting colormap, which is useful for comparing colormaps",pnmcolormap -sort path/to/input.pnm > path/to/output.ppm Post changes to Review Board,rbt post change_number Display the diff that will be sent to Review Board,rbt diff Land a change in a local branch or on a review request,rbt land branch_name Patch your tree with a change on a review request,rbt patch review_request_id Set up RBTool to talk to a repository,rbt setup-repo Choose the server with the lowest latency,sudo netselect host_1 host_2 Display nameserver resolution and statistics,sudo netselect -vv host_1 host_2 Define maximum TTL (time to live),sudo netselect -m 10 host_1 host_2 Print fastest N servers among the hosts,sudo netselect -s N host_1 host_2 host_3 Display help,netselect Play a file,vlc path/to/file Play in fullscreen,vlc --fullscreen path/to/file Play muted,vlc --no-audio path/to/file Play repeatedly,vlc --loop path/to/file Play video from a URL,vlc https://www.youtube.com/watch?v=oHg5SJYRHA0 Print the code metrics for the specified files,mh_metric path/to/file1.m path/to/file2.m ... Print the code metrics for the specified Octave files,mh_metric --octave path/to/file1.m path/to/file2.m ... Print the code metrics for the specified directory recursively,mh_metric path/to/directory Print the code metrics for the current directory,mh_metric Print the code metrics report in HTML or JSON format,mh_metric --html|json path/to/output_file Show the contents of the initramfs image for the current kernel,lsinitrd Show the contents of the initramfs image for the specified kernel,lsinitrd --kver kernel_version Show the contents of the specified initramfs image,lsinitrd path/to/initramfs.img List modules included in the initramfs image,lsinitrd --mod Unpack the initramfs to the current directory,lsinitrd --unpack Update dependencies in `Cargo.lock` to the latest possible version,cargo update "Display what would be updated, but don't actually write the lockfile",cargo update --dry-run Update only the specified dependencies,cargo update --package dependency1 --package dependency2 --package dependency3 Set a specific dependency to a specific version,cargo update --package dependency --precise 1.2.3 Request device capabilities,gnmic --address ip:port capabilities Provide a username and password to fetch device capabilities,gnmic --address ip:port --username username --password password capabilities Get a snapshot of the device state at a specific path,gnmic -a ip:port get --path path Update device state at a specific path,gnmic -a ip:port set --update-path path --update-value value Subscribe to target state updates under the subtree at a specific path,gnmic -a ip:port subscribe --path path Send a message with the content of `message.txt` to the mail directory of local user `username`,sendmail username < message.txt Send an email from you@yourdomain.com (assuming the mail server is configured for this) to test@gmail.com containing the message in `message.txt`,sendmail -f you@yourdomain.com test@gmail.com < message.txt Send an email from you@yourdomain.com (assuming the mail server is configured for this) to test@gmail.com containing the file `file.zip`,sendmail -f you@yourdomain.com test@gmail.com < file.zip Clone an existing repository,yadm clone remote_repository_location "Clone an existing repository, then execute the bootstrap file",yadm clone remote_repository_location --bootstrap "Clone an existing repository and after cloning, do not execute the bootstrap file",yadm clone remote_repository_location --no-bootstrap Change the worktree that `yadm` will use during cloning,yadm clone remote_repository_location --w worktree_file Change the branch that `yadm` gets files from,yadm clone remote_repository_location -b branch Override an existing repository local branch,yadm clone remote_repository_location -f Start Khal on interactive mode,ikhal Print all events scheduled in personal calendar for the next seven days,khal list -a personal today 7d Print all events scheduled not in personal calendar for tomorrow at 10:00,khal at -d personal tomorrow 10:00 Print a calendar with a list of events for the next three months,khal calendar Add new event to personal calendar,"khal new -a personal 2020-09-08 18:00 18:30 ""Dentist appointment""" View documentation for the current command,tldr pnmnorm Cancel current job on the default printer,lprm Cancel a job of a specific server,lprm -h server[:port] job_id Cancel multiple jobs with a encrypted connection to the server,lprm -E job_id1 job_id2 ... Cancel all jobs,lprm - Cancel the current job of a specific printer or class,lprm -P destination[/instance] Initialize an (empty) repository,vcsh init repository_name Clone a repository into a custom directory name,vcsh clone git_url repository_name List all managed repositories,vcsh list Execute a Git command on a managed repository,vcsh repository_name git_command Push/pull all managed repositories to/from remotes,vcsh push|pull Write a custom `.gitignore` file for a managed repository,vcsh write-gitignore repository_name Print the mcfly integration code for the specified shell,mcfly init bash|fish|zsh "Search the history for a command, with 20 results","mcfly search --results 20 ""search_terms""" Add a new command to the history,"mcfly add ""command""" Record that a directory has moved and transfer the historical records from the old path to the new one,"mcfly move ""path/to/old_directory"" ""path/to/new_directory""" Train the suggestion engine (developer tool),mcfly train Display help for a specific subcommand,mcfly help subcommand Display the current mask in octal notation,umask Display the current mask in symbolic (human-readable) mode,umask -S Change the mask symbolically to allow read permission for all users (the rest of the mask bits are unchanged),umask a+r "Set the mask (using octal) to restrict no permissions for the file's owner, and restrict all permissions for everyone else",umask 077 Install one or more packages,omf install name List installed packages,omf list List available themes,omf theme Apply a theme,omf theme name Remove a theme or package,omf remove name Uninstall Oh My Fish,omf destroy Create a new Python `virtualenv` in `$WORKON_HOME`,mkvirtualenv virtualenv_name Create a `virtualenv` for a specific Python version,mkvirtualenv --python /usr/local/bin/python3.8 virtualenv_name Activate or use a different `virtualenv`,workon virtualenv_name Stop the `virtualenv`,deactivate List all virtual environments,lsvirtualenv Remove a `virtualenv`,rmvirtualenv virtualenv_name Get summary of all virtualenvwrapper commands,virtualenvwrapper Screenshot all outputs,grim Screenshot a specific output,grim -o path/to/output_file Screenshot a specific region,"grim -g "", x""" "Select a specific region and screenshot it, (using slurp)","grim -g ""$(slurp)""" Use a custom filename,"grim ""path/to/file.png""" Screenshot and copy to clipboard,grim - | clipboard_manager Backup your Charm account keys,charm backup-keys Backup Charm account keys to a specific location,charm backup-keys -o path/to/output_file.tar Import previously backed up Charm account keys,"charm import-keys ""charm-keys-backup.tar""" Find where your `cloud.charm.sh` folder resides on your machine,charm where Start your Charm server,charm serve Print linked SSH keys,charm keys Print your Charm ID,charm id Recursively search the current directory for a pattern and cache it,vgrep search_pattern Display the contents of the cache,vgrep "Open the ""4th"" match from the cache in the default editor",vgrep --show 4 "Display a context of ""3"" lines for each match in the cache",vgrep --show=context3 Display the number of matches for each directory in the tree,vgrep --show=tree Display the number of matches for each file in the tree,vgrep --show=files Start an interactive shell with cached matches,vgrep --interactive "Convert one or more images to a single PDF, each image being on its own page",img2pdf path/to/image1.ext path/to/image2.ext ... --output path/to/file.pdf Convert only the first frame of a multi-frame image to PDF,img2pdf path/to/file.gif --first-frame-only --output path/to/file.pdf "Auto orient the image, use a specific page size in landscape mode, and set a border of specific sizes horizontally and vertically",img2pdf path/to/image.ext --auto-orient --pagesize A4^T --border 2cm:5.1cm --output path/to/file.pdf Shrink only larger images to a rectangle of specified dimensions inside a page with a specific size,img2pdf path/to/image.ext --pagesize 30cmx20cm --imgsize 10cmx15cm --fit shrink --output path/to/file.pdf "Convert an image to PDF, and specify metadata for the resulting file",img2pdf path/to/image.ext --title title --author author --creationdate 1970-01-31 --keywords keyword1 keyword2 --subject subject --output path/to/file.pdf Start a sudoku game,nudoku Choose the difficulty of the game,nudoku -d easy|normal|hard Navigate the board,h|j|k|l OR Left|Down|Up|Right arrow key Delete a number,Backspace|x Get a hint,H See the complete solution,S Create a new puzzle,N Quit the game,Q "Create a new flake (just the `flake.nix` file) from the default template, in the current directory",nix flake init Update all inputs (dependencies) of the flake in the current directory,nix flake update Update a specific input (dependency) of the flake in the current directory,nix flake lock --update-input input Show all the outputs of a flake on github,nix flake show github:owner/repo Display help,nix flake --help List available diff tools,git difftool --tool-help Set the default diff tool to meld,"git config --global diff.tool ""meld""" Use the default diff tool to show staged changes,git difftool --staged Use a specific tool (opendiff) to show changes since a given commit,git difftool --tool=opendiff commit List all currently tracked connections,conntrack --dump Display a real-time event log of connection changes,conntrack --event Display a real-time event log of connection changes and associated timestamps,conntrack --event -o timestamp Display a real-time event log of connection changes for a specific IP address,conntrack --event --orig-src ip_address Delete all flows for a specific source IP address,conntrack --delete --orig-src ip_address Launch a VNC server that allows multiple clients to connect,x11vnc -shared "Launch a VNC server in view-only mode, and which won't terminate once the last client disconnects",x11vnc -forever -viewonly Launch a VNC server on a specific display and screen (both starting at index zero),x11vnc -display :display.screen Launch a VNC server on the third display's default screen,x11vnc -display :2 Launch a VNC server on the first display's second screen,x11vnc -display :0.1 Print logs from a container,docker logs container_name Print logs and follow them,docker logs -f container_name Print last 5 lines,docker logs container_name --tail 5 Print logs and append them with timestamps,docker logs -t container_name "Print logs from a certain point in time of container execution (i.e. 23m, 10s, 2013-01-02T13:23:37)",docker logs container_name --until time Unpublish a specific package version,npm unpublish package_name@version Unpublish the entire package,npm unpublish package_name --force Unpublish a package that is scoped,npm unpublish @scope/package_name Specify a timeout period before unpublishing,npm unpublish package_name --timeout time_in_milliseconds "To prevent accidental unpublishing, use the `--dry-run` flag to see what would be unpublished",npm unpublish package_name --dry-run "Extract the password hash from an archive, listing all files in the archive",zip2john path/to/file.zip Extract the password hash using [o]nly a specific compressed file,zip2john -o path/to/compressed_file path/to/file.zip Extract the password hash from a compressed file to a specific file (for use with John the Ripper),zip2john -o path/to/compressed_file path/to/file.zip > file.hash Display a list of missing language packages based on installed software and enabled locales,check-language-support List packages for a specific locale,check-language-support --language en Display installed packages as well as missing ones,check-language-support --show-installed Display the status of the indexer,balooctl status Enable/Disable the file indexer,balooctl enable|disable Clean the index database,balooctl purge Suspend the file indexer,balooctl suspend Resume the file indexer,balooctl resume Display the disk space used by Baloo,balooctl indexSize Check for any unindexed files and index them,balooctl check Display help,balooctl --help Enable the JSON extension for every SAPI of every PHP version,sudo phpenmod json Enable the JSON extension for PHP 7.3 with the cli SAPI,sudo phpenmod -v 7.3 -s cli json Disable a given swap area,swapoff path/to/file Disable all swap areas in `/proc/swaps`,swapoff --all Disable a swap partition by its label,swapoff -L label Inspect the changes to a container since it was created,docker diff container Display help,docker diff --help Download a file from a server,smbget smb://server/share/file Download a share or directory recursively,smbget --recursive smb://server/share Connect with a username and password,smbget smb://server/share/file --user username%password Require encrypted transfers,smbget smb://server/share/file --encrypt Display available options,clangd --help List of available options,clangd --help-list Display version,clangd --version Do a dry run of renaming file extension '.andnav' to '.tile' for all files/directories under current directory tree,"find . -name ""*.andnav"" | rename -vn ""s/\.andnav$/.tile/""" "Add ""execute"" to the permissions of all directories in the home directory tree",find ~ -type d -exec chmod +x {} \; "Add ""new."" to the beginning of the name of ""original.filename"", renaming it to ""new.original.filename"".",rename 's/(.*)$/new.$1/' original.filename "Add ""prefix"" to every non-blank line in ""file.txt""",nl -s prefix file.txt | cut -c7- Add '.avi' extension to all files/directories with '.mkv' extension under '/volume1/uploads' directory tree,"find /volume1/uploads -name ""*.mkv"" -exec mv \{\} \{\}.avi \;" "Add a cron job to existing list, without removing existing ones, ro tun ""scripty.sh"" at 2:01 am, 3rd day of april (4th month), if that day happens to be a friday (5th day of the week starting with sunday=0).","cat <(crontab -l) <(echo ""1 2 3 4 5 scripty.sh"") | crontab -" "Add a date time stamp to every line of output in ""ping google.com""",ping google.com | xargs -L 1 -I '{}' date '+%c: {}' "Add a line number to every line in ""infile""",nl -ba infile "Add a number prefix followed by ')' to each line in ""$string""","echo ""$string"" | nl -ba -s') '" "Add content of ""filename"" to the existing cron jobs of user ""user"", without removing the previously existing cron jobs.",crontab -l -u user | cat - filename | crontab -u user - "Add cron lists from ""file1"" and ""file2"" to list of cron jobs, giving errors for any lines that cannot be parsed by crontab.",cat file1 file2 | crontab Add the execute and read permission for all and the write permission for the user to the dir_data directory and all of its sub-directories.,"find ~/dir_data -type d -exec chmod a+xr,u+w {} \;" "Add execute permission to ""ComputeDate"", ""col"", and ""printdirections"" for all users",chmod a+x ComputeDate col printdirections "Add executable permission to ""java_ee_sdk-6u2-jdk-linux-x64.sh""",sudo chmod +x java_ee_sdk-6u2-jdk-linux-x64.sh "Add execute permission to all files ending in "".sh""",chmod +x *.sh "Add group write permission to all files and directories in the current directory including hidden files and excluding ""..""",chmod g+w $(ls -1a | grep -v '^..$') Add group write permission to all files in the current directory,find . -maxdepth 0 -type f -exec chmod g+w {} ';' "Add group write permission to all files matching ""*"" or ""...*""",chmod g+w * ...* "Add line numbers to each non-blank line in ""file"" starting with number 1000001",nl -v1000001 file "Add prefix like number and ""^M${LOGFILE}> "" to every non-blank line received on standard input","nl -s""^M${LOGFILE}> """ "Add read and execute permission to command ""node""",sudo chmod +rx $(which node) Add read and execute permission to every directory under the current directory,find . -type d -exec chmod +rx {} \; Add read permission for 'other' for all files/directories named 'rc.conf' under current directory tree,"find . -name ""rc.conf"" -exec chmod o+r '{}' \;" "add read permission to others for the files in the current folder having the name ""rc.conf"" in their name.","find . -name ""*rc.conf"" -exec chmod o+r '{}' \;" "Add variable TESTVAR with value ""bbb"" to a temporary environment, and search for TESTVAR in all variables and their values in the resulting environment.",TESTVAR=bbb env | fgrep TESTVAR Adjust the timestamp of 'filename' by subtracting 2 hours from it.,"touch -d ""$(date -r filename) - 2 hours"" filename" Adjust the timestamp of file $filename by subtracting 2 hours from it,"touch -d ""$(date -r ""$filename"") - 2 hours"" ""$filename""" all .jpg or .png images modified in the past week,find . -mtime -7 \( '*.jpg' -o -name '*.png' \) all the files that end with .mp3 and end with .jpg,find . -name '*.mp3' -name '*.jpg' -print "Allow all users to execute ""myscript.sh""",chmod a+x myscript.sh "Allow all users to execute '$pathToShell""myShell.sh""'","chmod a+x $pathToShell""myShell.sh""" "Allow anyone to run command ""Xvfb"" as the owner of ""Xvfb""",sudo chmod u+s `which Xvfb` "Answer ""y"" to all prompts of ""rm -rf foo""",yes | rm -ri foo "Append "".txt"" to all filenames in the current directory tree",find -type f | xargs -I {} mv {} {}.txt Append *.java files from the current directory tree to tar archive `myfile.tar',"find . -type f -name ""*.java"" | xargs tar rvf myfile.tar" Append all *.mp3 files modified within the last 180 days to tar archive `music.tar',find . -name -type f '*.mp3' -mtime -180 -print0 | xargs -0 tar rvf music.tar Append all PNG and JPG files to tar archive 'images.tar',"find . \( -iname ""*.png"" -o -iname ""*.jpg"" \) -print -exec tar -rf images.tar {} \;" "Append all regular files modified in the last 24 hours to the ""$archive.tar"" tar archive","find . -mtime -1 -type f -exec tar rvf ""$archive.tar"" '{}' \;" "Append the contents of "".cwdhist"" file to the current in-memory history list",history -r .cwdhist "Append the contents of ""file.txt"" to the current in-memory history list",history -r file.txt Append the current date in '%Y%m%d_%H%M' format with the basename of $0 and save it to variable 'LOGNAME',"LOGNAME=""`basename ""$0""`_`date ""+%Y%m%d_%H%M""`""" "Append the current date in '%d%m%Y-%H-%M' format, '_' and the current username, then save it in 'name' variable","name=""$(date +'%d%m%Y-%H-%M')_$(whoami)""" "Append the date and command ran to ""/tmp/trace"" after every command","PROMPT_COMMAND='echo ""$(date +""%Y/%m/%d (%H:%M)"") $(history 1 |cut -c 7-)"" >> /tmp/trace'" Append history lines from this session to the history list,history -a "Archive ""./dir"" to ""user@host:/path"" via ssh on port 2222 and display progress",rsync -rvz -e 'ssh -p 2222' --progress ./dir user@host:/path "Archive ""./htmlguide"" to ""~/src/"" with resolved symbolic links and delete any extraneous files from ""~/src/"" not found in ""./htmlguide""",rsync -av --copy-dirlinks --delete ../htmlguide ~/src/ "Archive ""/home/abc/*"" to ""/mnt/windowsabc"" with human readable output",rsync -avh /home/abc/* /mnt/windowsabc "Archive ""/home/path"" to ""path"" on host ""server"" showing progress and statistics and remove files in the destination not found in the source",rsync -a --stats --progress --delete /home/path server:path "Archive ""/home/user1"" to ""wobgalaxy02:/home/user1"" excluding hidden files",rsync -av /home/user1 wobgalaxy02:/home/user1 "Archive ""/local/path/some_file"" to ""/some/path"" on host ""server.com"" authenticating as user ""usr"", compress data during transmission, show progress details.","rsync -avz --progress local/path/some_file usr@server.com:""/some/path/""" "Archive ""/media/10001/music/"" on host ""server"" to local directory ""/media/incoming/music/"" and skip files that are newer in the destination, delete any files in the destination not in the source, and compress data during transmission",rsync -avzru --delete-excluded server:/media/10001/music/ /media/Incoming/music/ "Archive ""/my/dir"" on host ""server"" as user ""user"" to the current local directory excluding files ending in "".svn""",rsync -av --exclude '*.svn' user@server:/my/dir . "Archive ""/path/to/application.ini"" on host ""source_host"" to current directory.",rsync -avv source_host:path/to/application.ini ./application.ini "Archive ""/path/to/copy"" to ""/path/to/local/storage"" on host ""host.remoted.from"" as user ""user"" updating files with different checksums, showing human readable progress and statistics, and compressing data during transmission",rsync -chavzP --stats /path/to/copy user@host.remoted.from:/path/to/local/storage "Archive ""/path/to/files"" to ""/path"" on host ""user@targethost"" with elevated permission on the remote host","rsync -av --rsync-path=""sudo rsync"" /path/to/files user@targethost:/path" "Archive ""/path/to/files"" to ""user@targethost:/path""",rsync -av /path/to/files user@targethost:/path "Archive ""/path/to/files/source"" to ""user@remoteip:/path/to/files/destination"" via ssh on port 2121","rsync -azP -e ""ssh -p 2121"" /path/to/files/source user@remoteip:/path/to/files/destination" "Archive ""/path/to/sfolder"" to ""name@remote.server:/path/to/remote/dfolder"" preserving hard links and compressing the data during transmission",rsync -aHvz /path/to/sfolder name@remote.server:/path/to/remote/dfolder "Archive ""/path/to/sfolder/"" to ""name@remote.server:/path/to/remote/dfolder"" preserving hard links and compressing the data during transmission",rsync -aHvz /path/to/sfolder/ name@remote.server:/path/to/remote/dfolder "Archive ""/source"" and all files under ""folder/"" to ""/dstfolder/"" on host ""remoteserver"" as user ""user"" without copying files that already exist",rsync -avz --ignore-existing /source folder/* user@remoteserver:/dstfolder/ "Archive ""/source/backup"" to ""/destination"" with compression during transfer",rsync -ravz /source/backup /destination "Archive ""/top/a/b/c/d"" to host ""remote"" using relative path names",rsync -a --relative /top/a/b/c/d remote:/ "Archive ""/usr/local/"" to ""/BackUp/usr/local/"" on host ""XXX.XXX.XXX.XXX"" via ssh and show progress",rsync --progress -avhe ssh /usr/local/ XXX.XXX.XXX.XXX:/BackUp/usr/local/ "Archive ""/var/www/test/"" to ""/var/www/test"" on host ""231.210.24.48"" as user ""ubuntu"" via ssh using identity file ""/home/test/pkey_new.pem""","rsync -rave ""ssh -i /home/test/pkey_new.pem"" /var/www/test/ ubuntu@231.210.24.48:/var/www/test" "Archive ""_vim/"" to ""~/.vim"" suppressing non-error messages and compressing data during transmission",rsync -aqz _vim/ ~/.vim "Archive ""blanktest/"" to ""test/"" deleting any files in the destination not found in the source",rsync -a --delete blanktest/ test/ "Archive ""directory"" preserving hard links from host ""remote"" to the current local directory and keep partial files, handle sparse files efficiently, and itemize changes made",rsync -aPSHiv remote:directory . "Archive ""fileToCopy"" to ""/some/nonExisting/dirToCopyTO"" on host ""ssh.myhost.net"" via ssh",rsync -ave ssh fileToCopy ssh.myhost.net:/some/nonExisting/dirToCopyTO "Archive ""path/subfolder"" to ""path"", skipping files that are newer at the destination.",rsync -vuar --delete-after path/subfolder/ path/ "Archive ""path/to/working/copy"" to ""path/to/export"" excluding files or directories named "".svn""",rsync -a --exclude .svn path/to/working/copy path/to/export "Archive ""somedir/./foo/bar/baz.c"" to ""remote:/tmp/"" preserving the relative path of ""foo/bar/baz.c""",rsync -avR somedir/./foo/bar/baz.c remote:/tmp/ "Archive ""source"" to ""destination"" via ssh on port ""PORT_NUMBER""","rsync -azP -e ""ssh -p PORT_NUMBER"" source destination" "Archive ""src"" to ""dst"" updating files existing in ""dst""",rsync -a -v src dst "Archive ""src"" to ""dst"" without overwriting existing files in ""dst""",rsync -a -v --ignore-existing src dst "Archive ""src-dir"" to ""dest-dir"" on ""remote-user@remote-host"" and delete any files in ""dest-dir"" not found in ""src-dir""",rsync -av --delete src-dir remote-user@remote-host:dest-dir "Archive all "".txt"" files in the current directory to ""/path/to/dest"" keeping partially transferred files",rsync -aP --include=*/ --include=*.txt --exclude=* . /path/to/dest Archive all *.xml files under current directory tree to xml.tar excluding the files that match '/workspace/' in their paths,find . -name \*.xml | grep -v /workspace/ | tr '\n' '\0' | xargs -0 tar -cf xml.tar Archive all *html files using tar.,"find . -type f -name ""*html"" | xargs tar cvf htmlfiles.tar -" "Archive all directories in /path/to/directory/* (only command line arguments, no sub-directories) to files with .tar.gz extension","find /path/to/directory/* -maxdepth 0 -type d -printf ""%P\n"" -exec sudo tar -zcpvf {}.tar.gz {} \;" "Archive all directories in /path/to/directory/* (only command line arguments, no sub-directories) to files with .tar.gz extension transforming the full paths to relative paths",find /path/* -maxdepth 0 -type d -exec sudo tar -zcpvf {}.tar.gz {} \; Archive all filepattern-*2009* files/directories under data/ into 2009.tar,find data/ -name 'filepattern-*2009*' -exec tar uf 2009.tar '{}' + "Archive any files changed in the last day from ""remote_host"" to ""local_dir""",rsync -av remote_host:'$(find logs -type f -ctime -1)' local_dir "Archive current directory to ""/some/path"" on localhost, using ssh to authentify as user ""me"", only update files that are newer in the source directory.","rsync -auve ""ssh -p 2222"" . me@localhost:/some/path" "Archive directory ""."" to ""server2::sharename/B""",rsync -av . server2::sharename/B "Archive directory ""/mnt/data"" to ""/media/WD_Disk_1/current_working_data/"", deleting any extraneous files in destination, compress data during copy.",rsync -az --delete /mnt/data/ /media/WD_Disk_1/current_working_data/; "Archive directory ""symdir"" to ""symdir_output"" preserving symbolic links.",rsync symdir/ symdir_output/ -a --copy-links -v Archive the entire file system into tarfile.tar.bz2,find / -print0 | xargs -0 tar cjf tarfile.tar.bz2 "Archive file 'file' with bzip2 tool, store compressed data to a file 'logfile' and also print to screen",bzip2 -c file | tee -a logfile "Archive files in ""/mnt/source-tmp"" to ""/media/destination""",rsync -a /mnt/source-tmp /media/destination/ "Archive files (not directories) in ""sorce_dir"" to ""target_dir""","rsync -a --filter=""-! */"" sorce_dir/ target_dir/" Archive the list of 1st level subdirectories in /fss/fin to /fss/fi/outfile.tar.gz,"tar -czf /fss/fi/outfile.tar.gz `find /fss/fin -d 1 -type d -name ""*"" -print`" "Archive showing progress ""sourcefolder"" to ""/destinationfolder"" excluding ""thefoldertoexclude""",rsync -av --progress sourcefolder /destinationfolder --exclude thefoldertoexclude "Archive showing progress all files in ""/media/2TB\ Data/data/music/"" to ""/media/wd/network_sync/music/"" excluding files matching ""*.VOB"", ""*.avi"", ""*.mkv"", ""*.ts"", ""*.mpg"", ""*.iso"", ""*ar"", ""*.vob"", ""*.BUP"", ""*.cdi"", ""*.ISO"", ""*.shn"", ""*.MPG"", ""*.AVI"", ""*.DAT"", ""*.img"", ""*.nrg"", ""*.cdr"", ""*.bin"", ""*.MOV"", ""*.goutputs*"", ""*.flv"", ""*.mov"", ""*.m2ts"", ""*.cdg"", ""*.IFO"", ""*.asf"", and ""*.ite""",rsync -av --progress --exclude=*.VOB --exclude=*.avi --exclude=*.mkv --exclude=*.ts --exclude=*.mpg --exclude=*.iso --exclude=*ar --exclude=*.vob --exclude=*.BUP --exclude=*.cdi --exclude=*.ISO --exclude=*.shn --exclude=*.MPG --exclude=*.AVI --exclude=*.DAT --exclude=*.img --exclude=*.nrg --exclude=*.cdr --exclude=*.bin --exclude=*.MOV --exclude=*.goutputs* --exclude=*.flv --exclude=*.mov --exclude=*.m2ts --exclude=*.cdg --exclude=*.IFO --exclude=*.asf --exclude=*.ite /media/2TB\ Data/data/music/* /media/wd/network_sync/music/ "As root, edit the cron job list of user ""apache"" using the editor specified by EDITOR environment variable, or default /usr/bin/editor if this variable is not set.",sudo crontab -e -u apache "as root, find from / all files called ""file.txt""",sudo find / -name file.txt ask user confirmation and delete all the files in the directory /mydir which have not been accessed in the last 100*24 hours,find /mydir -atime +100 -ok rm {} \; Assign permissions 644 to files in the current directory tree,find . -type f -print0 | xargs -0 chmod 644 Assign permissions 755 to directories in the current directory tree,find . -type d -print0 | xargs -0 chmod 755 Attempt to connect as root to localhost and copy /home/reportuser/dailyReport.doc to directory /root/dailyReports/20150105/ - this will normally fail because ssh won't accept root connections by default.,scp -p /home/reportuser/dailyReport.doc root@localhost:/root/dailyReports/20150105/ "Attempt to connect as root via ssh to host ""IP"" and copy /root/K to local machine, passing option ""StrictHostKeyChecking=no"" to the ssh backend - this will normally fail because ssh servers by default don't (and shouldn't) accept root logins.",scp -o StrictHostKeyChecking=no root@IP:/root/K Back up all *.txt files/directories in new files/directories with a .bak extension in their names under /etc directory,"find /etc -name ""*.txt"" | xargs -I {} mv {} {}.bak" Backup all of the Java files in the current directory tree by copying them and appending the suffix .bk to each,"find . -name ""*.java"" -exec cp {} {}.bk \;" "bind key ""\x61"" to insert itself","bind $'""\x61""':self-insert" "bind word ""foobar"" to key code ""\e[24~""","bind '""\e[24~"":""foobar""'" "Build an ""svn hotcopy"" command for each subdirectory of /usr/local/svn/repos/","find /usr/local/svn/repos/ -maxdepth 1 -mindepth 1 -type d -printf ""%f\0"" | xargs -0 -I{} echo svnadmin hotcopy /usr/local/svn/repos/\{\} /usr/local/backup/\{\}" calculate the disk usage for all the files which have been modified in the last 24 hours in ~/tmp folder and display the file sizes,find ~/tmp -mtime 0 -exec du -ks {} \; | cut -f1 Calculate md5 checksum of $line and save to variable 'md5',"md5=$(echo ""$line""|md5sum)" Calculate md5 checksum of '/etc/localtime' and save the first space separated part in variable 'checksum',checksum=`md5sum /etc/localtime | cut -d' ' -f1` Calculate the md5 checksum of the current directory structure and save it in variable SUM,SUM=$(tree | md5sum) "Calculate md5 checksum of the list of all files/dirs in /path recursively including dot files and excluding the patterns 'run', 'sys', 'tmp' and 'proc', then check the checksum against the checksum saved in /tmp/file",ls -alR -I dev -I run -I sys -I tmp -I proc /path | md5sum -c /tmp/file Calculate md5 checksum of theDirname,cpio -i -e theDirname | md5sum "Calculate the md5 sum of ""a""","echo ""a"" | md5sum" "Calculate the md5 sum of ""exampleString""",echo -n 'exampleString' | md5sum "Calculate the md5 sum of ""password""","echo ""password"" | md5sum" "Calculate the md5 sum of ""yourstring""","echo -n ""yourstring"" |md5sum" "Calculate the md5 sum of all the file metadata in the current directory tree excluding "".svn""",find . -name '.svn' -prune -o -type f -printf '%m%c%p' | md5sum "Calculate the md5 sum of the file ""filename"" and print only the hash","md5sum filename |cut -f 1 -d "" """ Calculate md5 sum of file $ecriv,"md5sum ""$ecriv""" Calculate md5 sum of file $item and save it to variable 'md5',md5=$(md5sum $item | cut -f1 -d\ ) Calculate md5 sum of files $source_file and $dest_file,"md5sum ""$source_file"" ""$dest_file""" Calculate md5 sum of file ${my_iso_file} and save it to variable 'md5',"md5=""$(md5sum ""${my_iso_file}"")""" Calculate the md5 sum of hex byte 61,echo -n -e '\x61' | md5sum "Calculate the md5 sum of the output of ""du -csxb /path"" and compare it against the md5 sum saved in ""file""",du -csxb /path | md5sum -c file "Calculate the md5 sum of the tar archive of ""dir""",tar c dir | md5sum "Calculate the md5sum of all the files with name ""MyCProgram.c"", ignoring case","find -iname ""MyCProgram.c"" -exec md5sum {} \;" "Calculate the md5sum of the executable file of command ""cc""",md5sum $(which cc) "Calculate the md5sum of the executable file of command ""gcc""",md5sum $(which gcc) Calculate the md5sum of the executable file of command 'c++',md5sum `which c++` "Case-insensitive search all existing environment variables and their values for ""shell"".",env | grep -i shell "Change all ""JPG"" filename suffixes in current directory to ""jpeg"".",rename -v 's/\.JPG/\.jpeg/' *.JPG "Change all directories under ""./bootstrap/cache/"" to owner ""apache"" and group ""laravel""",sudo find ./bootstrap/cache/ -type d -exec chown apache:laravel {} \; "Change all directories under ""./storage/"" to owner ""apache"" and group ""laravel""",sudo find ./storage/ -type d -exec chown apache:laravel {} \; "Change all files in ""~"" which are owned by the group ""vboxusers"" to be owned by the user group ""kent""",find ~ -group vboxusers -exec chown kent:kent {} \; "Change all files in the current directory tree to owner ""xx""",find . \( \! -user xx -exec chown -- xx '{}' + -false \) "Change all file permissions to 664 and all directory permissions to 775 in directory tree ""htdocs""",find htdocs -type f -exec chmod 664 {} + -o -type d -exec chmod 775 {} + change cgi files to mode 755,"find htdocs cgi-bin -name ""*.cgi"" -type f -exec chmod 755 {} \;" "Change directory to ""$dir1"" and resolve any symlinks in the resulting path","cd -P ""$dir1""" "Change directory to ""/lib/modules/"" of the current kernel release",cd /lib/modules/$(uname -r)/ "Change directory to ""/path/to/pdf""",cd /path/to/pdf "Change directory to the ""lib"" directory located two parent directories above the path to command ""perl""",cd $(dirname $(dirname $(which perl)))/lib "Change directory to the basename of ""$1"" with "".tar.gz"" removed",cd $(basename $1 .tar.gz) Change directory to the current user's home directory,cd /home/`whoami` "Change directory to the directory containing the ""oracle"" executable","cd ""$(dirname $(which oracle))""" Change directory to the directory containing the current script,cd $(dirname $(which $0) ) "Change directory to the directory containing file path ""$1""","cd ""$(dirname ""$1"")""" Change directory to the download directory specified in the current user's user-dirs.dirs file,"cd ""$(grep DOWNLOAD $HOME/.config/user-dirs.dirs | cut -f 2 -d ""="" | tr ""\"""" ""\n"" | tr -d ""\n"")""" "Change directory to the output of command '~/marker.sh go ""$@""'","cd $( ~/marker.sh go ""$@"" )" Change directory to parent directory and do not resolve any symlinks in the resulting path,cd -L .. "Change directory to the real path of the current working directory of process ""$PID""",cd $(readlink /proc/$PID/cwd) Change directory to the real path of the directory containing the current script,cd $(readlink -f $(dirname $0)) Change directory to the user's home directory,cd "change the extension of all the "".lst"" files in the current folder to ""a.lst""",find -name ‘*.lst’ -exec rename .lst a.lst {} \; change the extension of all the files in the current folder to html excluding those html files and those which are present in another disk partition,"find . -xtype f \! -iname *.html -exec mv -iv ""{}"" ""{}.html"" \;" "Change file owner and group of ""/path/to/yourapp"" to root and print a diagnostic",chown -v root:root /path/to/yourapp Change file permissions on all regular files within a directory,find /path/to/directory -type f -exec chmod 644 {} + Change folder to the one where $0 link target file is located.,cd $(dirname $(readlink -f $0)) change the group of all directories in the current folder,find . -type d -exec chgrp usergroup {} \; change the group of all the files in the file system which belong to the group with the gid 999,find / -group 999 -exec chgrp NEWGROUP {} \; change the group of all the files which belong to the user edwarda to pubs,"find / -user edwarda -exec chgrp pubs ""{}"" \;" change the group of all regular/normal files in the current directory,find . -type f -exec chgrp usergroup {} \; Changes the group of defined file.,chgrp change group of the file /var/lib/php/session to group lighttpd,chown -R :lighttpd /var/lib/php/session change group of the file myfile to group friends,chown :friends myfile Changes group ownership of '/etc/btsync/[prefered conf name].conf' to 'btsync'.,chgrp btsync /etc/btsync/[prefered conf name].conf Changes group ownership of '/home/www-user/php_user.sh' to 'www-data'.,chgrp www-data /home/www-user/php_user.sh Changes group ownership of '/var/run/fcgiwrap.socket' to 'forge'.,chgrp forge /var/run/fcgiwrap.socket Changes group ownership of 'myprog' to 'groupb'.,chgrp groupb myprog Changes group ownership of 'public' to 'pub'.,chgrp pub public Changes group ownership of 'target_directory' to 'target_group'.,chgrp target_group target_directory Changes group ownership of /sys/class/gpio/export and /sys/class/gpio/unexport to 'gpio'.,sudo chgrp gpio /sys/class/gpio/export /sys/class/gpio/unexport Change group ownership to `foo' for files with GID=2000,find / -group 2000 -exec chgrp -h foo {} \; "change the group to ""new_group"" and permissions to 770 for all the files in the current folder","find . -name ""*"" -exec chgrp -v new_group '{}' \; -exec chmod -v 770 '{}' \;" Change the group to `temp' for all files in the current directory tree that belong to group `root',find . -group root -print | xargs chgrp temp change html files to mode 644,"find /usr/local -name ""*.html"" -type f -exec chmod 644 {} \;" "Change the owner and group of ""it"" to ""root""",chown root:root it "Change owner and group of ""script.sh"" to ""root""",chown root:root script.sh "Change the owner and group of ""testfile.txt"" to ""root""",sudo chown root:root testfile.txt "Change the owner and group of ""uid_demo"" to ""root""",sudo chown root:root uid_demo change the owner and group of all the directories in the current folder and /home/admin/data/ to admin & admin,find . /home/admin/data/ -type d -exec chown admin.admin {} \; change owner and group of all files and directory into current directory to user and group apache,"find . -maxdepth 1 -not -name ""."" -print0 | xargs --null chown -R apache:apache" change the owner and group of all the files in the folder /usr/lpp/FINANCIALS,find /usr/lpp/FINANCIALS -print | xargs chown roger.staff change the owner and group of all the normal/regular files in the current folder and /home/admin/data/ to admin & admin,find . /home/admin/data/ -type f -exec chown admin.admin {} \; "Change the owner of ""$JBOSS_CONSOLE_LOG"" to ""$JBOSS_USER""",chown $JBOSS_USER $JBOSS_CONSOLE_LOG "Change the owner of ""/var/www/html/mysite/images/"" to ""nobody""",sudo chown nobody /var/www/html/mysite/images/ "Change the owner of ""/var/www/html/mysite/tmp_file_upload/"" to ""nobody""",sudo chown nobody /var/www/html/mysite/tmp_file_upload/ "Change onwer of ""file"" to ""user_name""",chown user_name file "Change owner of ""folder"" to ""user_name""",chown user_name folder "Change owner of ""my_test_expect.exp"" to ""el""",sudo chown el my_test_expect.exp "Change the owner of ""process"" to ""root""",sudo chown root process "Change the owner of all "".txt"" files in directory tree ""/mydir"" to ""root""","find /mydir -type f -name ""*.txt"" -execdir chown root {} ';'" "Change the owner of all files in ""/empty_dir/"" to ""root"" using at most 10 files at a time",ls /empty_dir/ | xargs -L10 chown root change the owner of all the files in the current directory,find . -exec chown myuser:a-common-group-name {} + "Change the owner of all files in the current directory tree excluding those who match ""./var/foo*"" to ""www-data""",find . -not -iwholename './var/foo*' -exec chown www-data '{}' \; "Change the owner of all files in the directory tree ""dir_to_start"" excluding directory ""dir_to_exclude"" to ""owner""",find dir_to_start -name dir_to_exclude -prune -o -print0 | xargs -0 chown owner "Change the owner of all files in the directory tree ""dir_to_start"" excluding file ""file_to_exclude"" to ""owner""","find dir_to_start -not -name ""file_to_exclude"" -print0 | xargs -0 chown owner" change the owner of all the files in folder /u/netinst to netinst,find /u/netinst -print | xargs chown netinst "change the owner of all the regular/normal files which belong to the root user to ""tom"" in the current folder",find .-type f -user root -exec chown tom {} \; change owner of the file /home/bob to user root,sudo chown root /home/bob "change owner of the file destination_dir to user ""user:",chown user destination_dir change owner of the file file.sh to user root,$sudo chown root file.sh change owner of the file my_test_expect.exp to user el,sudo chown el my_test_expect.exp //make el the owner. "Change owner to ""$1"" and group to ""httpd"" of "".htaccess""",chown $1:httpd .htaccess "Change owner to ""$FUID"" and group to ""$FGID"" of ""$FILE2""","chown $FUID:$FGID ""$FILE2""" "Change the owner to ""hduser"" and group to ""hadoop"" of ""{directory path}""",sudo chown hduser:hadoop {directory path} "Change the owner to ""owner"" and group to ""nobody"" of ""public_html""",chown owner:nobody public_html "Change owner to ""root"" and group to ""dockerroot"" of ""/var/run/docker.sock""",sudo chown root:dockerroot /var/run/docker.sock "Change the owner to ""root"" and group to ""specialusers"" of ""dir1""",chown root:specialusers dir1 "Change owner to ""root"" and group to ""wheel"" of ""adbind.bash""",sudo chown root:wheel adbind.bash "Change owner to ""root"" and group to ""wheel"" of ""bin""",sudo chown root:wheel bin "Change onwer to ""root"" and group to ""wheel"" of ""com.xxxx.adbind.plist""",sudo chown root:wheel com.xxxx.adbind.plist "Change owner to ""root"" and group to ""www-data"" of ""/foobar/test_file""",sudo chown root:www-data /foobar/test_file "Change the owner to ""user"" and group to ""group"" of files ""file ...""",chown user:group file ... "Change ownership of ""/data/db"" to the current user",sudo chown `whoami` /data/db "Change ownership of ""/vol"" to the current user",sudo chown `whoami` /vol change the ownership of all directories in the current folder,find . -type d -exec chown username {} \; change the ownership of all the files in the file system from edwarda to earnestc,"find / -user edwarda -exec chown earnestc ""{}"" \;" change the ownership of all regular/normal files in the current directory,find . -type f -exec chown username {} \; change the ownership of all regular/normal files in the current directory(print0 is used to handle all the files which have spaces or new lines in their names),find . -type f -print0 | xargs -0 chown username Change the ownership to eva for all files/directories that belong to the user 'george' in the entire file system without traversing to other devices/partitions,find -x / -user george -print0 | xargs -0 chown eva Change the ownership to the user daisy for all directories under current directory that are owned by harry,find . -type d -user harry -exec chown daisy {} \; change permissions for directories in the entire file system,chmod 751 `find ./ -type d -print` "Change permissions of "".bash_logout"", "".bashrc"", and "".profile"" to 444",chmod 444 .bash_logout .bashrc .profile "Change permissions of "".git/hooks/pre-commit"" to 777",sudo chmod 755 .git/hooks/pre-commit "Change permissions of "".git/hooks/prepare-commit-msg"" to 777",sudo chmod 777 .git/hooks/prepare-commit-msg "Change permissions of ""/dvtcolorconvert.rb"" to 755",sudo chmod 755 /dvtcolorconvert.rb "Change permissions of ""/usr/bin/wget"" to 777",chmod 777 /usr/bin/wget "Change permissions of ""mksdcard"" to 755",sudo chmod 755 mksdcard change the permissions of al the directories in the current folder,sudo find . -type d -exec chmod 755 {} + Change permissions of all directories from the current directory tree to 644,find . -type d -exec chmod 755 {} + change the permission of all directories in current folder to 755.,find . -type d -exec chmod 755 {} \; change the permissions of all the directories in the folder root_dir to 555,find root_dir -type d -exec chmod 555 {} \; Change permissions of all directories residing under and below ./debian to 755,find ./debian -type d | xargs chmod 755 change the permission of all the directories to 755 in the current folder,find -type d -exec chmod 755 {} \; "change the permissions of all the directories to 755 in the folder ""/home/nobody/public_html""",find /home/nobody/public_html -type d -exec chmod 755 {} \; change the permissions of all the directories to 775 in the current folder,find . -type d -exec chmod 775 {} \; "change the permissions of all the files ending with ""fits"" in the folder ""/store/01"" and save the output file names to a log file","find /store/01 -name ""*.fits"" -exec chmod -x+r {} \; -exec ls -l {} \; | tee ALL_FILES.LOG" change the permission of all the files in the current directory to 664 and change the permission of all the directories in the current folder to 775.,"find . \( -type f -exec sudo chmod 664 ""{}"" \; \) , \( -type d -exec sudo chmod 775 ""{}"" \; \)" change permission of all the files in the entire file system which have permissions 777.,find / -type f -perm 0777 -print -exec chmod 644 {} \; change the permissions of all the normal files in a directory,find /path/to/dir/ -type f -print0 | xargs -0 chmod 644 "change the permission of all the normal/regular files from 777 to 755 in the folder ""/home/user/demo""",find /home/user/demo -type f -perm 777 -print -exec chmod 755 {} \; Change permissions of all regular files from the current directory tree to 644,find . -type f -exec chmod 644 {} + change the permissions of all regular/normal files in the current directory,find . -type f -exec chmod 664 {} \; change the permissions of all the regular files in the current folder,find . -type f -exec chmod 500 {} ';' change the permissions of all the regular/normal files in the current folder,chmod 640 `find ./ -type f -print` change the permission of all the regular/normal files in the current folder from 777 to 755,find . -type f -perm 777 -exec chmod 755 {} \; "change the permissions of all the regular/normal files in the folder ""/path/to/someDirectory"" to 644",sudo find /path/to/someDirectory -type f -print0 | xargs -0 sudo chmod 644 change the permission of all the regular files in the folder /home to 700,find /home -type f -perm 0777 -print -exec chmod 700 {} \; change the permissions of all the regular files in the folder root_dir to 444,find root_dir -type f -exec chmod 444 {} \; "Change permissions of all regular files in the ~/dir_data directory tree in accordance with mode `a-x,u+w'","find ~/dir_data -type f -exec chmod a-x,u+w {} \;" change the permission of all the normal/regular files to 644 in the current folder,find -type f -exec chmod 644 {} \; change the permissions of all the regular/normal files to 644 in the folder /home/nobody/public_html,find /home/nobody/public_html -type f -exec chmod 644 {} \; Change the permission of all regular files under current directory tree to 644,find . -type f -exec chmod 644 {} \; Change the permissions of all regular files whose names end with .mp3 in the directory tree /var/ftp/mp3,find /var/ftp/mp3 -name '*.mp3' -type f -exec chmod 644 {} \; change the permission of all the rpm files in the entire file system to 755,find / -name *.rpm -exec chmod 755 '{}' \; change permissions of all the script files in a directory,"find /home/john/script -name ""*.sh"" -type f -exec chmod 644 {} \;" "Change permissions of directory ""/home/sshtunnel/"" to 555",chmod 555 /home/sshtunnel/ "change the permissions of the directories from 777 to 755 in the folder ""/var/www/html""",find /var/www/html -type d -perm 777 -print -exec chmod 755 {} \; Change the permissions of every directory in the current directory and all files and directories within them to 700,find . -maxdepth 1 -type d -exec chmod -R 700 {} \; change permissions of files older than 30 days,find /path/to/directory -type f -mtime +30 -exec chmod 644 {} + Change permission to 000 of all directories named '.texturedata' under '/path/to/look/in/' directory tree,find /path/to/look/in/ -type d -name '.texturedata' -exec chmod 000 {} \; -prune Change the permission to 0644 for all files under current directory,find . -type f -exec chmod 0644 {} + Change permissions to 0755 for all directories in the /path directory tree,"find /path -type d -exec chmod 0755 ""{}"" \;" Change permissions to 600 for all regular .rb files in the current directory tree,"find . -name ""*.rb"" -type f -exec chmod 600 {} \;" Change permissions to 644 for all files in the current directory tree,find . -type f | xargs -I{} chmod -v 644 {} Change permissions to 644 for all files showing the respective chmod command,find ./ -type f -print0 | xargs -t -0 chmod -v 644 Change permissions to 644 for all regular files under the /path/to/dir/ tree unless these permissions are already set,find /path/to/dir ! -perm 0644 -exec chmod 0644 {} \; Change permissions to 644 for all regular files under and below /path/to/someDirectory/,find /path/to/someDirectory -type f -print0 | xargs -0 sudo chmod 644 Change permissions to 644 for all subdirectories,find . -type d -print0|xargs -0 chmod 644 Change permissions to 644 of multiple files with permissions 755,find . -perm 755 -exec chmod 644 {} \; Change permissions to 644 of multiple regular files with permissions 755,find . -type f -perm 755 -exec chmod 644 {} \; Change permissions to 700 for directories at the current level and deeper,find . -mindepth 1 -type d | xargs chmod 700 Change permissions to 700 for files and directories deeper than the current directory,find . -mindepth 2 | xargs chmod 700 Change permissions to 755 for all directories in the /path/to/dir directory tree,find /path/to/dir -type d -exec chmod 755 {} \; Change permissions to 755 for all directories in the current directory tree,find . -type d | xargs chmod -v 755 Change permission to 755 for all directories under $d directory tree,"find ""$d/"" -type d -print0 | xargs -0 chmod 755" "Change permissions to u=rw,g=r,o= for all files in the current directory tree","find . -type f -exec chmod u=rw,g=r,o= '{}' \;" "Change permissions to u=rwx,g=rx,o= for all directories in the current directory tree","find . -type d -exec chmod u=rwx,g=rx,o= '{}' \;" "Change symbolic link ""$f"" into a file",cp --remove-destination $(readlink $f) $f "Change the timestamp of symbolic link ""somesymlink"" to current date/time",touch -h somesymlink Change to directory 'foo' and print to terminal all received on standard input,cd foo | cat "Change to directory 'xyz' and resolve any symlinks in the resulting path, making the physical path the current one.",cd -P xyz "Change to the directory containing the ""oracle"" executable","cd ""$(dirname ""$(which oracle)"")""" Change to directory listed in file '$HOME/.lastdir',cd `cat $HOME/.lastdir` Change to the directory pointed by variable TAG,"cd ""$TAG""" Changes to the directory where 'ssh' executable is located.,cd $(dirname $(which ssh)); Change to location of '$TARGET_FILE' file.,cd `dirname $TARGET_FILE` "Change to parent directory and resolve any symlinks in the resulting path, making the physical path the current one.",cd -P .. Change the user and group of all files and directories under /var/www to www-data:www-data,find /var/www -print0 | xargs -0 chown www-data:www-data change user and group of the file /usr/bin/aws to user amzadm and group root,chown amzadm.root /usr/bin/aws Change user ownership to `foo' for files with UID=1005,find / -user 1005 -exec chown -h foo {} \; "Check all .txt files whether they contain ""needle""","find . -type f -iname ""*.txt"" -print | xargs grep ""needle""" "Check all .txt files whose names may contain spaces whether they contain ""needle""","find . -type f -iname ""*.txt"" -print0 | xargs -0 grep ""needle""" Checks compressed file integrity.,bzip2 -t file.bz2 Check the environment variables generated by switching to the root account.,sudo env check find version,find --version "Check if ""\[$VLABEL\]"" is mounted and save the result in variable ""AMV""","AMV=$(mount -l | grep ""\[$VLABEL\]"")" "Check if ""~/mnt/sdc1"" is mounted",mount | grep -q ~/mnt/sdc1 Check if the $somedir directory is empty,"find ""$somedir"" -maxdepth 0 -empty -exec echo {} is empty. \;" "Check if 'nullglob' shell option is enabled, and if so, saves its status in 'is_nullglob' variable.",is_nullglob=$( shopt -s | egrep -i '*nullglob' ) Check if a drive is mounted to nfs,mount |grep nfs "Check if a drive with UUID ""$UUID"" is mounted",mount | grep $(readlink -f /dev/disk/by-uuid/$UUID ) check if there any files from the .git folder after excluding it using the prune command,find . -path ./.git -prune -o -print -a \( -type f -o -type l -o -type d \) | grep '.git' Check if content of all top-level *.txt files in the current directory contain only unique lines,cat *.txt | sort | sort -u -c Check if directory $some_dir is empty,"find ""`echo ""$some_dir""`"" -maxdepth 0 -empty" Check if the directory tree whose name is given as variable $somedir contains no regular files,"find ""$somedir"" -type f -exec echo Found unexpected file {} \;" "Check if the file ""somelink"" links to exists",ls `readlink somelink` check if myfile has 0644 permissions,find myfile -perm 0644 -print Check if process ID 1 exists (init or systemd) and current user has permission to send it signals.,kill -0 1 Checks that 'monit' user is in 'www-data' group.,groups monit |grep www-data "Check that the master ssh connection ""officefirewall"" is running",ssh -O check officefirewall "Check that the master SSH control socket ""my-ctrl-socket"" to ""jm@sampledomain.com"" is running",ssh -S my-ctrl-socket -O check jm@sampledomain.com check the type of files in the folder /usr/bin,find /usr/bin | xargs file "Check whether ""$path_in_question"" is a mount point","df $path_in_question | grep "" $path_in_question$""" "Check whether ""/full/path"" is a mount point with no output and using the exit code",df /full/path | grep -q /full/path Clean the current directory from all subversion directories recursively,"find . -type d -name "".svn"" -print | xargs rm -rf" Clear the in-memory history,history -c Clear the in-memory history and read from the current history file,history -cr Clears terminal screen.,echo `clear` Clears the terminal screen.,clear "Close the master SSH control socket ""my-ctrl-socket"" to ""jm@sampledomain.com""",ssh -S my-ctrl-socket -O exit jm@sampledomain.com This command find displays the files which are modified in the last 15 minutes. And it lists only the unhidden files. i.e hidden files that starts with a . (period) are not displayed in the find output.,"find . -mmin -15 \( ! -regex "".*/\..*"" \)" The command runs all the directories (-type d) found in the $LOGDIR directory wherein a file's data has been modified within the last 24 hours (-mtime +0) and compresses them (compress -r {}) to save disk space.,find $LOGDIR -type d -mtime +0 -exec compress -r {} \; "Compare ""$source_file"" and ""$dest_file"" line by line","diff ""$source_file"" ""$dest_file""" "Compare ""current.log"" and ""previous.log"" line by line and print lines containing regex pattern "">\|<""","diff current.log previous.log | grep "">\|<"" #comparring users lists" "Compare ""fastcgi_params"" and ""fastcgi.conf"" line by line, output 3 lines of unified context, and print the C function the change is in",diff -up fastcgi_params fastcgi.conf "Compare ""file1"" and ""file2"" line by line with 3 lines of unified context",diff -u file1 file2 Compare *.csv files in the current directory tree with their analogs stored in /some/other/path/,"find . -name ""*.csv"" -exec diff {} /some/other/path/{} "";"" -print" "Compares two listings 'ls' and 'ls *Music*', showing only strings that unique for first listing.",comm -23 <(ls) <(ls *Music*) "Compare the contents of gzip-ompressed files ""file1"" and ""file2""",diff <(zcat file1.gz) <(zcat file2.gz) "Compare each .xml file under the current directory with a file of the same name in ""/destination/dir/2""",find . -name *.xml -exec diff {} /destination/dir/2/{} \; compare each C header file in or below the current directory with the file /tmp/master,find . -name '*.h' -execdir diff -u '{}' /tmp/master ';' "Compare each file in ""repos1/"" and ""repos2/"", treat absent files as empty, ignore differences in whitespace and tab expansions, and print 3 lines of unified context",diff -ENwbur repos1/ repos2/ "Compare files ""A1"" and ""A2"" with 3 lines of unified context and print lines beginning with ""+""","diff -u A1 A2 | grep -E ""^\+""" "Compare files 'file1' and 'file2' and print in three columns strings unique for first file, second file, and common ones",comm abc def "Compare files in ""/tmp/dir1"" and ""/tmp/dir2"", treat absent files as empty and all files as text, and print 3 lines of unified context",diff -Naur dir1/ dir2 Compare the files in 'FOLDER1' and 'FOLDER2' and show which ones are indentical and which ones differ,"find FOLDER1 -type f -print0 | xargs -0 -I % find FOLDER2 -type f -exec diff -qs --from-file=""%"" '{}' \+" "Compare sorted files 'f1.txt' and 'f2.txt' and print in three columns strings unique for first file, second file, and common ones",comm <(sort -n f1.txt) <(sort -n f2.txt) "Compare text ""hello"" and ""goodbye"" line by line",diff <(echo hello) <(echo goodbye) "Compose filepath as folder path where file $SRC is located, and lowercase filename of $SRC file, and save it in 'DST' variable","DST=`dirname ""${SRC}""`/`basename ""${SRC}"" | tr '[A-Z]' '[a-z]'`" "Compose filepath as folder path where file $f is located, and lowercase filename of $f file, and save it in 'g' variable","g=`dirname ""$f""`/`basename ""$f"" | tr '[A-Z]' '[a-z]'`" "Composes full process tree with process id numbers, and prints only those strings that contain 'git'.",pstree -p | grep git "Compress ""hello world"" and save to variable ""hey""","hey=$(echo ""hello world"" | gzip -cf)" "Compress ""my_large_file"" with gzip and split the result into files of size 1024 MiB with prefix ""myfile_split.gz_""",gzip -c my_large_file | split -b 1024MiB - myfile_split.gz_ Compress $file file using gzip,"gzip ""$file""" Compress a file named '{}' in the current directory,"gzip ""{}""" "Compress all "".txt"" files in all sub directories with gzip",gzip */*.txt "Compress all "".txt"" files in the current directory tree with gzip","find . -type f -name ""*.txt"" -exec gzip {} \;" Compress all *.img files using bzip2,"find ./ -name ""*.img"" -exec bzip2 -v {} \;" Compress all directories found in directory tree $LOGDIR that have been modified within the last 24 hours,find $LOGDIR -type d -mtime -1 -exec compress -r {} \; "Compress all files in the ""$FILE"" directory tree that were last modified 30 days ago and have not already been compressed with gzip",find $FILE -type f -not -name '*.gz' -mtime 30 -exec gzip {} \; "Compresses all files listed in array $*, executing in background.",compress $* & Compress all files under /source directory tree using gzip with best compression method,find /source -type f -print0 | xargs -0 -n 1 -P $CORES gzip -9 Compress all files under current directory tree with gzip,find . -type f -print0 | xargs -0r gzip Compress all files with '.txt' extension under current directory,echo *.txt | xargs gzip -9 "Compress and display the gzip compression ratio of every file on the system that is greater than 100000 bytes and ends in "".log""","sudo find / -xdev -type f -size +100000 -name ""*.log"" -exec gzip -v {} \;" "Compress and display the original filename of every file on the system that is greater than 100000 bytes and ends in "".log""","sudo find / -xdev -type f -size +100000 -name ""*.log"" -exec gzip {} \; -exec echo {} \;" "Compress every file in the current directory that matches ""*cache.html"" and keep the original file",gzip -k *cache.html "Compress every file in the current directory tree that matches ""*cache.html"" and keep the original file","find . -type f -name ""*cache.html"" -exec gzip -k {} \;" Compress every file in the current directory tree with gzip and keep file extensions the same,find folder -type f -exec gzip -9 {} \; -exec mv {}.gz {} \; Compresses file 'example.log' keeping original file in place.,bzip2 -k example.log Compress the file 'file' with 'bzip2' and append all output to the file 'logfile' and stdout,bzip2 file | tee -a logfile Compress files excluding *.Z files,"find . \! -name ""*.Z"" -exec compress -f {} \;" Compress from standard input and print the byte count preceded with 'gzip.',echo gzip. $( gzip | wc -c ) Compress from standard input with gzip,gzip Concatenate files containing `test' in their names,find . -name '*test*' -exec cat {} \; "Concatenate with a space every other line in ""input.txt""",paste -s -d' \n' input.txt "Connect as ssh user specified by variable USER to host whose IP address or host name is specified by HOST, and copy remote file specified by variable SRC to location on local host specified by variable DEST, disabling progress info but enabling debug info.",scp -qv $USER@$HOST:$SRC $DEST "Connect to ""$USER_AT_HOST"" using connection sharing on ""$SSHSOCKET"" and request the master to exit","ssh -S ""$SSHSOCKET"" -O exit ""$USER_AT_HOST""" "Connect to host ""$USER_AT_HOST"" in master mode in the background without executing any commands and set the ControlPath to ""$SSHSOCKET""","ssh -M -f -N -o ControlPath=""$SSHSOCKET"" ""$USER_AT_HOST""" "Connect to host ""server_b"" as ssh user ""user"" and copy local file ""/my_folder/my_file.xml"" to server_b's directory ""/my_new_folder/"".",scp -v /my_folder/my_file.xml user@server_b:/my_new_folder/ "Connect to port 1234 of specified IP address or hostname as ssh user ""user"", and copy all visible files in /var/www/mywebsite/dumps/ on this host to local directory /myNewPathOnCurrentLocalMachine - this directory must already exist on local host.",scp -P 1234 user@[ip address or host name]:/var/www/mywebsite/dumps/* /var/www/myNewPathOnCurrentLocalMachine "Connect to port 2222 of example.com as ssh user ""user"", and copy local file ""/absolute_path/source-folder/some-file"" to remote directory ""/absolute_path/destination-folder""",scp -P 2222 /absolute_path/source-folder/some-file user@example.com:/absolute_path/destination-folder "Continuously send ""y"" to all prompts of command ""rm""",yes | rm "Convert ""1199092913"" to dotted decimal IPv4 address","ping -c1 1199092913 | head -n1 | grep -Eow ""[0-9]+[.][0-9]+[.][0-9]+[.][0-9]+""" "Convert "";"" separated list ""luke;yoda;leila"" to new line separated list","echo ""luke;yoda;leila"" | tr "";"" ""\n""" "Convert ""abc"" to a string of hexadecimal bytes",echo abc | od -A n -v -t x1 | tr -d ' \n' Convert the contents of 'var1' variable to lowercase,var1=`echo $var1 | tr '[A-Z]' '[a-z]'` Convert the content of variable 'CLEAN' to small letters,CLEAN=`echo -n $CLEAN | tr A-Z a-z` "Convert relative path ""/x/y/../../a/b/z/../c/d"" into absolute path with resolved symbolic links",readlink -f /x/y/../../a/b/z/../c/d "Convert relative symbolic link ""$link"" to absolute symbolic link","ln -sf ""$(readlink -f ""$link"")"" ""$link""" Convert standard input into a dump of octal bytes without the first 8 bytes of address and count the unique results,od | cut -b 8- | xargs -n 1 | sort | uniq | wc -l cope *.mp3 files to /tmp/MusicFiles,"find . -type f -name ""*.mp3"" -exec cp {} /tmp/MusicFiles \;" "Copies """"$project_dir""/iTunesArtwork"", to the 'Payload/iTunesArtwork', rewriting files if necessary.","cp -f ""$project_dir""/iTunesArtwork Payload/iTunesArtwork" "Copy ""./export"" recursively to ""/path/to/webroot"" preserving permissions",rsync -pr ./export /path/to/webroot "Copy ""/Users/username/path/on/machine/"" to ""username@server.ip.address.here:/home/username/path/on/server/"" and convert encoding from UTF-8-MAC to UTF-8","rsync --iconv=UTF-8-MAC,UTF-8 /Users/username/path/on/machine/ 'username@server.ip.address.here:/home/username/path/on/server/'" "Copy ""/home/username/path/on/server/"" to ""username@your.ip.address.here:/Users/username/path/on/machine/"" and convert encoding from UTF-8 to UTF-8-MAC","rsync --iconv=UTF-8,UTF-8-MAC /home/username/path/on/server/ 'username@your.ip.address.here:/Users/username/path/on/machine/'" "Copy ""/new/x/y/z/"" over the network to ""user@remote:/pre_existing/dir/"" preserving the directory hierarchy",rsync -a --relative /new/x/y/z/ user@remote:/pre_existing/dir/ "Copy ""/path/to/source"" to '/path/to/dest' in remote ""username@computer""",rsync -r /path/to/source username@computer:/path/to/dest "Copy ""6.3.3/6.3.3/macosx/bin/mybinary"" to ""~/work/binaries/macosx/6.3.3/"" and create directory ""~/work/binaries/macosx/6.3.3/"" if ""~/work/binaries/macosx/"" exists",rsync 6.3.3/6.3.3/macosx/bin/mybinary ~/work/binaries/macosx/6.3.3/ "Copy ""fileName.txt"" to all directories listed in ""allFolders.txt"" - names may not contain spaces.",cat allFolders.txt | xargs -n 1 cp fileName.txt "Copy ""some_file_name"" to ""destination_directory"" and change ownership to ""someuser:somegroup""",echo 'some_file_name' | cpio -p --owner someuser:somegroup destination_directory "Copy ""source"" recursively to ""destination"" excluding ""path1/to/exclude"" and ""path2/to/exclude""",rsync -av --exclude='path1/to/exclude' --exclude='path2/to/exclude' source destination "Copy ""src"" to ""dest"" if ""src"" is newer than ""dest""",rsync -u src dest "Copy ""src/prog.js"" and ""images/icon.jpg"" to ""/tmp/package"" keeping relative path names",rsync -R src/prog.js images/icon.jpg /tmp/package "Copy '/path/to/source' from remote ""username@computer"" to local ""/path/to/dest""",rsync -r username@computer:/path/to/source /path/to/dest "Copies 'libgtest_main.so' and 'libgtest.so' to '/usr/lib/', preserving all attributes, and copying symlinks as symlinks, without following in source files.",sudo cp -a libgtest_main.so libgtest.so /usr/lib/ Copies 'src' to 'dest' preserving overwriting the existing files.,cp -n src dest Copy *.txt files from the dir/ directory tree along with their parent directories,find dir/ -name '*.txt' | xargs cp -a --target-directory=dir_txt/ --parents Copy /my/configfile to all empty directories of the $somedir directory tree,"find ""$somedir"" -type d -empty -exec cp /my/configfile {} \;" "Copy the 3 specified files to /tmp/package, preserving/creating directory structure of each file as specified on command line.",cp --parents src/prog.js images/icon.jpg /tmp/package Copy a comlex directory tree from one machine o another while preserving copy permissions and ownership,find . -depth -print | cpio -o -O /target/directory copy a files from one folder to all the folder in the /raid which have an extension local_sd_customize.,"find /raid -type d -name "".local_sd_customize"" -ok cp /raid/04d/MCAD-apps/I_Custom/SD_custom/site_sd_customize/user_filer_project_dirs {} \;" "Copy a file xyz.c to all the directories below the current one whose names begin with ""temp""","find . -type d -name ""temp*"" | xargs -n1 cp xyz.c" Copy a file xyz.c to all directories or over all files matching the letter 'c' at the end of their names under current directory tree,"find . -name ""*c"" -print0 | xargs -0 -n1 cp xyz.c" "Copy a large sparse file ""sparse-1"" to ""sparse-1-copy""",rsync --sparse sparse-1 sparse-1-copy Copy a whole directory tree skipping files residing on other files systems to destination_dir,find ./ -mount -depth -print | cpio -pdm /destination_dir "Copy all "".php"" files in ""projects/"" directory tree to ""copy/"" preserving directory hierarchy",find projects/ -name '*.php' -print | cpio -pdm copy/ Copy all .patch files from the current directory tree to patches/,find -name '*.patch' -print0 | xargs -0 -I {} cp {} patches/ Copy all .pdf files in the ./work/ directory tree with size bigger then 2 MB and modified more than 5 days ago to the ./backup/ directory,"find ./work/ -type f -name ""*.pdf"" -mtime +5 -size +2M | xargs -r cp -t ./backup/" Copy all .png files from the home directory tree to imagesdir/,find ~/ -name *.png -exec cp {} imagesdir \; "Copy all files and directories in ""/home/"" to ""/newhome"" preserving directory hierarchy and modification time",find /home/ -maxdepth 1 -print | sudo cpio -pamVd /newhome "Copy all files and directories under the current directory into ""../new"" preserving relative paths",find -print0 | sort -z | cpio -pdv0 ../new "Copy all files below the current directory whose names contain ""foobar"" (case-insensitive) to directory foo/bar/ in user's home directory.","find . -iname ""*foobar*"" -exec cp ""{}"" ~/foo/bar \;" "Copy all files ending in "".a"" in directory trees matching ""folder*"" to ""/path/to/dest"" preserving directory hierarchy",find folder* -name '*.a' -print | cpio -pvd /path/to/dest "Copy all files from the current directory tree to /path/to/destination/dir preserving their times, permissions, and ownership",find . | cpio -pdumv /path/to/destination/dir "Copy all files in ""/var/spool/mail"" to ""/home/username/mail"" preserving the directory hierarchy and modification times",find /var/spool/mail -type f | cpio -pvdmB /home/username/mail Copy all files in the current directory except those containing 'Music' to '/target_directory'.,cp `ls | grep -v Music` /target_directory Copy all files in current directory that do not match */exlude-path/* in their paths to /destination/ preserving directory structure,find . -type f -not -path '*/exlude-path/*' -exec cp --parents '{}' '/destination/' \; Copy all files in current directory that do not match */not-from-here/* in their names to /dest,find . -type f -not -iname '*/not-from-here/*' -exec cp '{}' '/dest/{}' ';' Copy all files in current directory that do not match */not-from-here/* in their paths to /dest,find . -type f -not -path '*/not-from-here/*' -exec cp '{}' '/dest/{}' \; "Copy all files in the current directory tree matching ""textToSearch"" to ""$destination_path""","find . -type f | xargs grep -l ""textToSearch"" | cpio -pV $destination_path" Copies all files like 'lib*.so' to '~/usr/gtest/lib/' directory.,cp lib*.so ~/usr/gtest/lib "Copy all files matching ""*.sh"" in ""$from/*"" to ""root@$host:/home/tmp/"" compressing data during transmission","rsync -zvr --include=""*.sh"" --exclude=""*"" $from/* root@$host:/home/tmp/" "Copy all files matching ""*failed.ipynb"" in the current directory tree to ""./fails"" preserving the directory hierarchy","find . -name ""*failed.ipynb"" | cpio -pd ./fails" "Copy all files matching ""file_name.extension"" to ""/path/to/receiving/folder"" preserving directory hierarchy",find . -name 'file_name.extension' -print | cpio -pavd /path/to/receiving/folder Copy all files named 'script.sh' in directory 'olddir' to directory 'newdir',"find olddir -name script.sh -printf ""%p\0"" -printf ""newdir/%P\0"" | xargs -0L2 cp -n" "Copy all files unconditionally and directories in directory tree ""myfiles"" to ""target-dir"" preserving directory hierarchy and modification time",find myfiles | cpio -pmud target-dir Copy all files under director 'foo' whose name doesn't contain 'Music' to directory 'bar'.,find foo -type f ! -name '*Music*' -exec cp {} bar \; copy all files which do not have execute permission to another folder,cp `find -perm -111 -type f` /usr/local/bin Copy all files with '.png' (case insensitive) extension under '/home/mine' directory tree to '/home/mine/pngcoppies/' directory with new names constructed by prepending 'copy' in their names,"find /home/mine -iname ""*.png"" -printf ""%P\n "" | xargs -I % -n1 cp % /home/mine/pngcoppies/copy%" Copy all files with '.png' (case insensitive) extension under '/home/mine' directory tree to '/home/mine/pngcoppies/copy.' directory,"find /home/mine -iname ""*.png"" -execdir cp {} /home/mine/pngcoppies/copy{} \;" Copy all files with name pattern $j.sh (case insensitive) under '/tmp/2' directory tree to $i directory,"find ""/tmp/2/"" -iname ""$j.sh"" -exec cp {} ""$i"" \;" copy all the log files in the current folder which have not been accessed in the last 30*24 hours to the folder old,"find . -type f -mtime +30 -name ""*.log"" -exec cp {} old \;" copy all the mp3 files from current folder to another folder,find . -name '*.mp3' -exec cp -a {} /path/to/copy/stuff/to \; Copy all regular files from the current directory tree to directory `TARGET',find . -type f -exec cp -t TARGET {} \+ copy all the regular/normal files from temp folder which have been modified in the last 30*24 hours to /tmp/backup,find /tmp -type f -mtime -30 -exec cp {} /tmp/backup \; copy all the regular files in the current directory to the temporary diectory.,find . -type f -exec cp {} /tmp + "Copy all regular files whose names end in ""~"" from the /path directory tree to ~/backups/",find /path -type f -name '*~' -print0 | xargs -0 -I % cp -a % ~/backups "Copy an entire file structure, creating empty files in the copy instead of copying the actual files.",find src/ -type d -exec mkdir -p dest/{} \; -o -type f -exec touch dest/{} \; "Copy and always overwrite all files in ""/zzz/zzz"" to ""/xxx/xxx""",yes | cp -rf /zzz/zzz/* /xxx/xxx "Copy the current directory tree to ""newdirpathname"" preserving directory hierarchy",find ./ -depth -print | cpio -pvd newdirpathname "Copy the directory hierarchy from ""original"" to ""new""",find original -type d -exec mkdir new/{} \; "Copy directory hierarchy from the current working directory to ""/path/to/backup/""",find . -type d -exec mkdir -p -- /path/to/backup/{} \; "Copy the directory hierarchy of the current directory to ""destdir""",find . -type d | cpio -pdvm destdir "Copy the entire ""/lib"" and ""/usr"" directory including symlinks from ""pi@192.168.1.PI"" to ""$HOME/raspberrypi/rootfs"" and delete files after the transfer","rsync -rl --delete-after --safe-links pi@192.168.1.PI:/{lib,usr} $HOME/raspberrypi/rootfs" "Copy the entire directory tree under t1 to t2, do not create a containing t1 directory in t2.",cp -R t1/ t2 "Copy file ""exist"" from directory ""/file/that"" to a new file named ""file"" in ""/location/for/new""",cp /file/that/exists /location/for/new/file "Copies file '/boot/config-`uname -r`' to the '.config', printing info message and prompting before owerwriting files.",cp -vi /boot/config-`uname -r` .config Copies file 'file.dat' to each top-level directory in the current directory.,ls | xargs -n 1 cp -i file.dat Copies file 'file.txt' to each top-level directory in the current directory.,ls -d */ | xargs -iA cp file.txt A "Copies file 'file1' to each of directories 'dir1', 'dir2', 'dir3'.",echo dir1 dir2 dir3 | xargs -n 1 cp file1 Copies file 'index.html' to each top-level directory in the current directory.,find . -mindepth 1 -maxdepth 1 -type d| xargs -n 1 cp -i index.html Copies file 'index.html' to each top-level directory in the current directory beginning with 'd'.,find . -mindepth 1 -maxdepth 1 -type d| grep \/a |xargs -n 1 cp -i index.html Copies file 'test' to each of directories like './fs*/*'.,echo ./fs*/* | xargs -n 1 cp test "Copy file header.shtml to directories dir1, dir2, dir3, and dir4",find dir1 dir2 dir3 dir4 -type d -exec cp header.shtml {} \; "Copy file or directory 'gtest' from directory 'include' in current directory to /usr/include, preserving ownerships and permissions.",sudo cp -a include/gtest /usr/include "Copy file or folder linked to by ""file"" to ""file""",cp -rf --remove-destination `readlink file` file "(Linux specific) Copy loadable kernel module ""mymodule.ko"" to the drivers in modules directory matchig current kernel.",sudo cp mymodule.ko /lib/modules/$(uname -r)/kernel/drivers/ "Copy local file ""$1"" to host ""$2"" into host directory ""$3""","cat $1 | ssh $2 ""mkdir $3;cat >> $3/$1""" Copies newest file under the current folder to the '/tmp/',cp $(ls -1tr * | tail -1) /tmp/ "Copy the owner and group of ""oldfile"" to ""newfile""",chown --reference=oldfile newfile "Copy permissions from ""version2/somefile"" to ""version1/somefile""",chmod --reference version2/somefile version1/somefile "Copy recursively ""/source/backup"" to ""/destination"" preserving symbolic links, modification times, and permissions",rsync -rtvpl /source/backup /destination "Copy recursively ""tata/"" to ""tata2/"" and remove read, write, and execute permission for other",rsync -avz --chmod=o-rwx -p tata/ tata2/ "Copy src_dir recursively to dest_dir, but without overwriting existing files.",cp -nr src_dir dest_dir "Copy the standard output of a ""bash"" session to ""/var/log/bash.out.log""",bash | tee /var/log/bash.out.log Correct permissions for directories in the web directory,find /your/webdir/ -type d -print0 | xargs -0 chmod 755 Correct permissions for files in the web directory,find /your/webdir -type f | xargs chmod 644 Counts all *.mod files in a /boot/grub/ folder.,ls -l /boot/grub/*.mod | wc -l Count all directories in maximum 1 level down the current directory,find . -maxdepth 1 -type d -exec ls -dlrt {} \; | wc --lines Count all the mp3 files in the music user's home and subdirs.,find ~music -type f -iname *.mp3 | wc -l count all the regular files that are present in a directory,find . -type f | wc -l count amount of jobs running,jobs | wc -l Count files in $DIR_TO_CLEAN that are older than $DAYS_TO_SAVE days,"find ""$DIR_TO_CLEAN"" -mtime +$DAYS_TO_SAVE | wc -l" "Counts lines in each *.cpp, *.c, *.h file.","wc -l `find . -type f \( -name ""*.cpp"" -o -name ""*.c"" -o -name ""*.h"" \) -print`" Counts lines of /etc/fstab file.,cat /etc/fstab | wc -l Counts lines of all *.txt files in a current folder.,cat *.txt | wc -l count lines of C or C++ or Obj-C code under the current directory,"find . \( -name ""*.c"" -or -name ""*.cpp"" -or -name ""*.h"" -or -name ""*.m"" \) -print0 | xargs -0 wc" count the lines of java code for all the java files in the current directory,"find . -name ""*.java"" -print0 | xargs -0 wc" Counts lines of myfile.txt file.,cat myfile.txt | wc -l Counts lines with all-cased word 'null' in file 'myfile.txt'.,grep -n -i null myfile.txt | wc -l Counts non-empty lines in file fileName.,cat fileName | grep -v ^$ | wc -l Counts number of *.php files in a current folder and subfolders.,find . -name '*.php' | wc -l Count the number of .gz files in the current directory tree,"find -name ""*.gz"" | wc -l" Count the number of .gz files in directory tree /home/user1/data1/2012/mainDir,find /home/user1/data1/2012/mainDir -name '*.gz' | wc -l Count the number of .java files in all folders rooted in the current folder,"find . -name ""*.java"" | wc -l" Count number of A records of domain '$domain' on nameserver '$server' and save value in 'result' variable,"result=""$(dig +short @""$server"" ""$domain"" | wc -l)""" Count the number of all directories under current directory non-recursively,find . -mindepth 1 -maxdepth 1 -type d | wc -l Count the number of directories in the current directory and below,find . -type f -exec basename {} \; | wc -l "Count the number of equal lines in sorted files ""ignore.txt"" and ""input.txt""",comm -12 ignore.txt input.txt | wc -l Count the number of files/directories named file1 under current directory,find -name file1 | wc -l Count the number of files in the /usr/ports directory tree whose names begin with 'pkg-plist' and which contain 'etc/rc.d/',find /usr/ports/ -name pkg-plist\* -exec grep -l etc/rc.d/ '{}' '+' | wc -l Count the number of files in the /usr/ports directory tree whose names begin with 'pkg-plist' and which contain 'unexec.rmdir%D',find /usr/ports/ -name pkg-plist\* -exec grep 'unexec.rmdir %D' '{}' '+' | wc -l Count the number of files in the current directory and below,find . -type d -exec basename {} \; | wc –l "Count number of lines in ""Sample_51770BL1_R1.fastq.gz""",zcat Sample_51770BL1_R1.fastq.gz | wc -l "Count the number of lines in ""testfile"" wrapped to fit in a width of ""$COLUMNS"" characters","fold -w ""$COLUMNS"" testfile | wc -l" Counts the number of lines in *.php and *.inc files in a current folder and subfolders.,find . -name '*.php' -o -name '*.inc' | xargs wc -l Count the number of lines in each .java file in the current directory tree,"find . -name ""*.java"" -exec wc -l {} \;" Count the number of lines in every regular .rb file in the current directory tree,"find . -name ""*.rb"" -type f -exec wc -l \{\} \;" Count the number of non localhost users,who | grep -v localhost | wc -l "Count number of occurences of ""123"" in the string ""123 123 123"" (ie. 3)","echo ""123 123 123"" | grep -o 123 | wc -l" Count the number of the regular files residing under and below ./randfiles/,find ./randfiles/ -type f | wc -l Count the number of regular files with 755 permission under current directory tree,find . -type f -perm 755 | wc -l Count the number of total files and folders under current directory tree,find . -print0 | tr -cd '\0' | wc -c "Count the number of unique duplicate lines in ""file1"" and ""file2"" combined",sort file1 file2 | uniq -d | wc -l "Count the number of unique lines in sorted file ""a.txt"" compared to sorted file ""b.txt""",comm -23 a.txt b.txt | wc -l Count the occurrence of 2 in the string '1 1 2 2 2 5',"echo ""1 1 2 2 2 5"" | tr ' ' $'\n' | grep -c 2" "The cpio command is a copy command designed to copy files into and out of a cpio or tar archive, automatically preserving permissions, times, and ownership of files and subdirectories.",find . | cpio -pdumv /path/to/destination/dirrectory Create 5 empty .txt files,"echo ""a.txt b.txt c.txt d.txt z.txt"" | xargs touch" "Create 6-letter named temporary directory in a folder path that is provided as the first positional parameter, and save the path to it in a variable 'tmp'","tmp=$(mktemp -d $(dirname ""$1"")/XXXXXX)" "Create 6-letter named temporary file in a folder path $file1, and save the path to it in a variable 'tmpfile'","tmpfile=$(mktemp $(dirname ""$file1"")/XXXXXX)" "Create 6-letter named temporary file in a folder path that is provided as the first positional parameter, and save the path to it in a variable 'tmpfile'","tmpfile=$(mktemp $(dirname ""$1"")/XXXXXX)" "Create 998 directories one inside another with sequential names folder1, folder2, ... folder998 and create an additional folder named 'folder9991000' inside the last 'folder998' directory","mkdir -p folder$( seq -s ""/folder"" 999 )1000" create a backup of all the files in the current folder excluding those that are present in the .snapshot sub directory and excluding the swap files (files ending with ~),find . -name .snapshot -prune -o \( \! -name *~ -print0 \) | cpio -pmd0 /dest-dir create a backup of all the files in the home folder on a partition and save the log to a file,find /home -depth -print | cpio -ov -0 /dev/rmt0 | tee -a tape.log create a backup of all the files which have been modified in the last 48 hours,find source/directory -ctime -2 | cpio -pvdm /my/dest/directory "Create a compressed archive from ""www"" and split the contents into files of at most 1073741824 bytes and use prefix ""www-backup.tar.""",tar czf - www|split -b 1073741824 - www-backup.tar. create a compressed archive in my_dir directory matching '.[^.]* ..?*' glob pattern,tar -C my_dir -zcvf my_dir.tar.gz .[^.]* ..?* * "create a compressed archive with files newer than 1st of January 2014, 18:00:00.",tar -N '2014-02-01 18:00:00' -jcvf archive.tar.bz2 files Create a directory named 'alpha_real' in the current directory,mkdir alpha_real "Create a full path symbolic link ""$newlink"" from a relative path symbolic link ""$origlink""",ln -s $(readlink -f $origlink) $newlink Create a gzip archive file ($tarFile) of all *.log files under $sourcePath,"find $sourcePath -type f -name ""*.log"" -exec tar -uvf $tarFile {} \;" "create a hard link as directory named ""new_hard_link"" to the directory ""existing_dir"" as root",sudo ln -d existing_dir new_hard_link "Create a hard link named ""my-hard-link"" to ""myfile.txt""",ln myfile.txt my-hard-link create a link to all the html or htm files in the current folder which have been changed in the last 30*24 hours,"find \( -name ""*.htm"" -o -name ""*.html"" \) -a -ctime -30 -exec ln {} /var/www/obsolete \;" create a list of all files in all subdirectories,find . -type f -exec md5 {} \; "Create a local SSH tunnel from ""localhost"" port 16379 to ""localhost"" port 6379 using key ""keyfile.rsa"" and disables the interactive shell",ssh -i keyfile.rsa -T -N -L 16379:localhost:6379 someuser@somehost "Create a new directory ""existing-dir/new-dir/"" on host ""node""",rsync /dev/null node:existing-dir/new-dir/ "Create a new RSA key for ssh with no passphrase, store it in ~/.ssh/id_rsa without prompting to overwrite if this file exists, and minimize output from ssh-keygen.","echo -e 'y\n'|ssh-keygen -q -t rsa -N """" -f ~/.ssh/id_rsa" "Create a rsa key of 2048 bits with comment ""michael"" and store it in file ""key"".",ssh-keygen -b 2048 -t rsa -f key -C michael create a soft link of the files in the folder /media/movies which have been modified in the last 30 days,find /media/Movies -type f -mtime -30 -exec ln -s {} /media/Movies/New/ \; Create a ssh key and store it in the file ~/.ssh/apache-rsync,ssh-keygen -f ~/.ssh/apache-rsync "Create a ssh key of RSA type, and prompt for a filename to store it, presenting the default for this type of key as $HOME/.ssh/id_rsa",ssh-keygen -t rsa "Create a ssh tunnel on local port 2222 through ""bridge.example.com"" to ""remote.example.com"" port 22 without executing any commands and run in the background",ssh -N -L 2222:remote.example.com:22 bridge.example.com& "Create a symbolic link in ""/bar/tmp/"" for each file in directory ""/foo"" that does not start with ""runscript""",find /foo -maxdepth 1 -type f ! -name 'runscript*' -exec ln -s {} /bar/tmp/ \; "Create a symolic link in ""/usr/local/"" to ""/Applications/Sublime\ Text.app/Contents/SharedSupport/bin/subl""",ln -s /Applications/Sublime\ Text.app/Contents/SharedSupport/bin/subl /usr/local/ "Create a symolic link in ""/usr/local/bin/"" to ""/Applications/Sublime\ Text\ 2.app/Contents/SharedSupport/bin/subl""",ln -s /Applications/Sublime\ Text\ 2.app/Contents/SharedSupport/bin/subl /usr/local/bin/ "Create a symbolic link in the current directory for each .jpg file under ""dir""","ln -s ""$(find dir -name '*.jpg')"" ." "Create a symbolic link in the current directory for each file .dbf under the directories matching ""/home/michael/foxpro/mount/A[1FV]/[12][0-9][0-9][0-9]""",find /home/michael/foxpro/mount/A[1FV]/[12][0-9][0-9][0-9] -name '*.dbf' -type f -exec ln -s {} \; "create a symbolic link in current directory named ""environments"" to file ""../config/environments""","ln -s ""../config/environments""" "create a symbolic link in current directory named ""my_db"" to file ""/media/public/xampp/mysql/data/my_db""",ln /media/public/xampp/mysql/data/my_db -s "Create a symbolic link in target directory ""$tmpdir"" for each file under the current directory",find $PWD -type f -exec ln -st $tmpdir {} + "Create a symbolic link named ""$1/link"" to the existing full and real path of ""$2""","ln -s ""$(readlink -e ""$2"")"" ""$1/link""" "create a symbolic link named ""$ORACLE_HOME/include"" to file ""/usr/include/oracle/11.2/client""",sudo ln -s /usr/include/oracle/11.2/client $ORACLE_HOME/include "Create a symbolic link named ""$tmpdir/bam"" to the full path of command ""bam2"" found in ""$PATH""","ln -s ""$(which bam2)"" ""$tmpdir""/bam" "Create a symbolic link named ""${DESTINATION}${file}"" to ""${TARGET}${file}""","ln -s ""${TARGET}${file}"" ""${DESTINATION}${file}""" "create a symbolic link named ""-pdf-kundendienst"" to ""local--pdf-kundendienst"" file",ln -s -- ./local--pdf-kundendienst -pdf-kundendienst "Create a symbolic link named "".bash_profile"" to "".bashrc""",ln -s .bashrc .bash_profile "Create a symbolic link named ""/lib/libc.so.0"" to ""/lib/libc.so.6""",ln -s /lib/libc.so.6 /lib/libc.so.0 "create a symbolic link named ""/usr/bin/my-editor"" to file ""/usr/share/my-ditor/my-editor-executable""",ln -s /usr/share/my-ditor/my-editor-executable /usr/bin/my-editor "create a symbolic link named ""/usr/lib/jvm/default-java"" to file ""/usr/lib/jvm/java-7-oracle""",sudo ln -s /usr/lib/jvm/java-7-oracle /usr/lib/jvm/default-java "Create a symbolic link named ""temp"" to ""newtarget""",ln -s newtarget temp "Create a symbolic link named ""wh"" to ""$wh""","ln -s ""$wh"" wh" "create a symbolic link named ""www"" to file ""www1""",ln -s www1 www "Create a symbolic link named ""~/bin/subl"" to ""/Applications/Sublime Text 2.app/Contents/SharedSupport/bin/subl""","ln -s ""/Applications/Sublime Text 2.app/Contents/SharedSupport/bin/subl"" ~/bin/subl" "Create a symbolic link relative to link location named ""$dest_dir/$orig_name"" to ""$orig_dest""","ln -r -s ""$orig_dest"" ""$dest_dir/$orig_name""" "Create a symbolic link to ""$file"" named ""/tmp/allfiles""",ln $file /tmp/allfiles "Create a symbolic link to file ""/usr/bin/perl"" named with escaped characters ""/usr/local/bin/perl\r\n""",sudo ln -s /usr/bin/perl /usr/local/bin/perl`echo -e '\r'` "create a symbolic link with absolute path ""/cygdrive/c/Users/Mic/mypics"" to file ""/cygdrive/c/Users/Mic/Desktop/PENDING - Pics/""",ln -sf '/cygdrive/c/Users/Mic/Desktop/PENDING - Pics/' /cygdrive/c/Users/Mic/mypics "Create a symbolic lnk named ""$1/link"" to ""$dir""","ln -s ""$dir"" ""$1/link""" Create a tar archive with all *.java files under the current directory,"find . -type f -name ""*.java"" | xargs tar cvf myfile.tar" create a tar ball of all pdf files in current folder,find . -name *.pdf | xargs tar czvf /root/Desktop/evidence/pdf.tar create a tar.gz compress file with all the jpg files in the entire file system,find / -name *.jpg -type f -print | xargs tar -cvzf images.tar.gz Creae a tarball 'files.tar.gz' containing all regular files under current directory tree that are newer than 2013-12-04 and older than 2013-12-05,"find . -type f -name ""*"" -newermt 2013-12-04 ! -newermt 2013-12-05 | xargs -I {} tar -czvf files.tar.gz {}" create a zip of all the files in the current folder which are bigger than 100Kb and do not go more than 2 levels during search,find . -maxdepth 2 -size +100000 -exec bzip2 {} \; create a zip of log files in the current directory which have not been accessed in the last 3 days (-p is for parallel processing for a 4 cpu machine),find . -name '*.log' -mtime +3 -print0 | xargs -0 -P 4 bzip2 "create a zip of log files in the current directory which have not been accessed in the last 3 days (-p is for parallel processing for a 4 cpu machine, -n is for maximum work units)",find . -name '*.log' -mtime +3 -print0 | xargs -0 -n 500 -P 4 bzip2 Creates alias for network interface 'eth0' with IP address '192.0.2.55' and network mask '255.255.255.255'.,ifconfig eth0:fakenfs 192.0.2.55 netmask 255.255.255.255 Create all directories in the path specified by variable $javaUsrLib as super user,sudo mkdir -p $javaUsrLib Create all directories in the path specified by variable $tempWork,mkdir -p $tempWork create an archive excluding files matching patterns listed in /path/to/exclude.txt,tar -czf backup.tar.gz -X /path/to/exclude.txt /path/to/backup create an archive using 7zhelper.sh as a compress program,tar -I 7zhelper.sh -cf OUTPUT_FILE.tar.7z paths_to_archive create an archive using pbzip2 as a compress program,tar -I pbzip2 -cf OUTPUT_FILE.tar.bz2 /DIR_TO_ZIP/ "Create an empty file 'last.check' in directory pointed by variable ""log_dir"", with specified timestamp.",touch -m 201111301200.00 $log_dir/last.check "Create an empty file called ""emptyfile.c""",cp /dev/null emptyfile.c "Create an empty file (or update timestamp of file) specified by variable ""correctFilePathAndName""","touch ""$correctFilePathAndName""" "Create an empty index.html in each directory under the current one, updating timestamps of already existing index.html files.",find . -type d -exec touch {}/index.html \; "Create an empty index.html, or update its timestamp if it already exists.",touch index.html create and list contents of the archive,tar cf - $PWD|tar tvf - "create archive ""backup.tar.gz"" from /path/to/catalog",tar czfP backup.tar.gz /path/to/catalog "Create compressed archive from ""my_large_file_1"" and ""my_large_file_2"" and split into files of size 1024 MiB with prefix ""myfiles_split.tgz_""",tar cz my_large_file_1 my_large_file_2 | split -b 1024MiB - myfiles_split.tgz_ "create directory "".hiddendir""",mkdir .hiddendir "Create directories ""/tmp/x/y/z/"" on remote host before copying ""$source"" to ""user@remote:/tmp/x/y/z/""","rsync -a --rsync-path=""mkdir -p /tmp/x/y/z/ && rsync"" $source user@remote:/tmp/x/y/z/" Create the directory '.npm-global' in the user's home directory(~).,mkdir ~/.npm-global Create directorie(s) 'some/path' as required in the current directory,mkdir -p ./some/path create directory /cpuset,mkdir /cpuset create directory /data/db,sudo mkdir /data/db create directory /etc/cron.minute,mkdir /etc/cron.minute create directory /path/to/destination,mkdir /path/to/destination create directory /tmp/new,mkdir /tmp/new create directory /var/svn as user root,sudo mkdir /var/svn create directories a b c d e,mkdir a b c d e create directory aaa,mkdir aaa create directory aaa/bbb,mkdir aaa/bbb create directory backup,mkdir backup create directories bravo_dir and alpha_dir,mkdir bravo_dir alpha_dir create directory destdir,mkdir destdir create directory es if it is not exist and create direcoty LC_MESSAGES,mkdir -p es/LC_MESSAGES create directory foo,mkdir -p foo create directory log into home directory,mkdir ~/log create directory new_dir,mkdir new_dir create directory practice into home directory,mkdir ~/practice create directory public_html into home directory,mkdir ~/public_html create directory saxon_docs,mkdir saxon_docs create directory subdirectory,mkdir subdirectory create directory temp into home directory,mkdir ~/temp create directory testExpress,mkdir testExpress create directory TestProject,mkdir TestProject "Create empty files (or update timestamps if they exist) with names matching each word in variable ""correctFilePathAndName""","echo -e ""$correctFilePathAndName"" | xargs touch" Creates file by template 'aws-sync-XXXXX' in a current folder and stores file name in a 'dir' variable.,"dir=""$(mktemp aws-sync-XXXXX)""" "Creates full path with parents, that matches to folder path extracted from $f variable.","mkdir -p -- ""$(dirname -- ""$f"")""" "Create intermediate directories ""tmp"" as required and directories real_dir1 and real_dir2",mkdir -p tmp/real_dir1 tmp/real_dir2 "Create links for all files in the current directory tree that are more than 1000 days old in ""/home/user/archives""",find . -type f -mtime +1000 -print0 | cpio -dumpl0 /home/user/archives "Create master SSH control socket ""my-ctrl-socket"" in the background with no terminal or command execution with connection forwarding from localhost port 50000 to localhost port 3306 via ""jm@sampledomani.com""",ssh -M -S my-ctrl-socket -fnNT -L 50000:localhost:3306 jm@sampledomain.com Create new crontab set including $job and only jobs from current crontab that don`t contain $command,"cat <(fgrep -i -v ""$command"" <(crontab -l)) <(echo ""$job"") | crontab -" Creates random file name formatted like expression in variable ${str// /X} and saves it in 'rand_str' variable.,rand_str=$(mktemp --dry-run ${str// /X}) "Create ssh tunnel through ""genja.org"" connecting localhost port 4444 to ""raptor.lan"" port 22",ssh -L 4444:raptor.lan:22 genja.org "Create symbolic links in the current directory for all files excluding ""CONFIGFILE"" located in ""/your/project""","find /your/project -maxdepth 1 ! -name ""CONFIGFILE"" -exec ln -s \{\} ./ \;" "Create symbolic links in the current directory for all files excluding ""CONFIGFILE"" located under ""/your/project"" directory tree",find /your/project -type f ! -name 'CONFIGFILE' -exec ln -s \{\} ./ \; "Create symbolic links in current directory for all files located in ""dir"" directory and have filename extension ""jpg""","find dir -name '*.jpg' -exec ln -s ""{}"" \;" "Create symbolic links in the current directory for all files under ""bar1"" that are not directories and do not end in "".cc""",find bar1 -name '*foo*' -not -type d -not -name '*.cc' -exec ln -s $PWD/'{}' bar2/ \; "create symbolic links in current directory to all files located in directory ""/original"" and have filename extension "".processname""",find /original -name '*.processme' -exec echo ln -s '{}' . \; "create symbolic links in directory ""/your/dest/dir/"" to all files located in ""/your/source/dir/"" and have filename extension ""txt.mrg""",find /your/source/dir/ -iname '*.txt.mrg' -exec ln -s '{}' /your/dest/dir/ \; Create symlinks to all /home/folder1/*.txt files and 'folder2_' directory with the same name in a target directory named '+',"find /home/folder1/*.txt -type f -exec ln -s {} ""folder2_"" + \;" Create symlinks to all /home/folder1/*.txt files with the same name in current directory,find /home/folder1/*.txt -type f -exec ln -s {} \; Create symlinks to all /home/folder2/*.txt files with the same name in current directory,find /home/folder2/*.txt -type f -exec ln -s {} \; Creates temporary directory in '/tmp/' folder and saves path to it in 'my_tmp_dir' variable.,my_tmp_dir=$(mktemp -d --tmpdir=/tmp) "Creates temporary directory with name formatted like .daemonXXXXXXX in /tmp/ folder, and saves path to it in 'TMPDIR' variable.",TMPDIR=$(mktemp -p /tmp -d .daemonXXXXXXX) Creates temporary file and saves path to it in 'content_dir1' variable.,content_dir1=$(mktemp) Creates temporary file and saves path to it in 'content_dir2' variable.,content_dir2=$(mktemp) Creates temporary file and saves path to it in a 'tmpfile' variable.,tmpfile=$(mktemp) Creates temporary file by template provided in option '-t'.,mktemp -t identifier.XXXXXXXXXX "Creates temporary file in $appdir variable with name formatted like expression in variable ${template}, and saves path to it in 'launcherfile' variable.","launcherfile=$(mktemp -p ""$appdir"" ""$template"")" Creates temporary file in a current folder and saves path to it in 'f' variable.,f=`mktemp -p .` "Creates temporary file in a current folder with name formatted like 'templateXXXXXX', and saves path to it in 'tempfile' variable.",tempfile=$(mktemp $(pwd)/templateXXXXXX) "Creates temporary file in a TMPDIR folder or /tmp folder if TMPDIR doesn`t defined, with file name like current shell name and '-XXXXX'-formatted suffix, and saves created path to the 'tempFile' variable.","tempFile=""$(mktemp ""${TMPDIR:-/tmp/}$(basename ""$0"")-XXXXX"")""" Creates temporary file in default folder and saves path to it in 'source' variable.,source=`mktemp` "Creates temporary file in TMPDIR folder or /tmp/ if TMPDIR is not defined, named by template ${tempname}.XXXXXX, and saves path to new file in a TMPPS_PREFIX variable.","TMPPS_PREFIX=$(mktemp ""${TMPDIR:-/tmp/}${tempname}.XXXXXX"")" Creates temporary file name and saves path to it in 'TMP_FILE' variable.,"TMP_FILE=""$(mktemp -t)""" Creates temporary file with appended suffix '.cmd' and saves path to it in 'LGT_TEMP_FILE' variable.,"LGT_TEMP_FILE=""$(mktemp --suffix .cmd)""" Creates temporary file with name formatted like '.script.XXXXXX' in '/tmp/' folder and saves path to it in 'script1' variable.,script1=`mktemp /tmp/.script.XXXXXX`; Creates temporary file with name formatted like '.script.XXXXXX' in '/tmp/' folder and saves path to it in 'script2' variable.,script2=`mktemp /tmp/.script.XXXXXX`; Creates temporary file with name formatted like 'emacs-manager.XXXXXX' in '/tmp/' folder and saves path to it in 'tmp_file' variable.,tmp_file=`mktemp --tmpdir=/tmp emacs-manager.XXXXXX` "Creates temporary file with name formatted like expression in variable ${PROG}, and saves path to it in 'mytemp' variable.","mytemp=""$(mktemp -t ""${PROG}"")""" "Creates temporary folder, and saves current folder path joined with created temporary folder path in 'tdir' variable.","tdir=""$(pwd)/$(mktemp -d)""" Creates temporary folder and saves path to it in 'other' variable.,"other=""$(mktemp --directory)""" Creates temporary folder and saves path to it in 'td' variable.,td=$( mktemp -d ) Creates temporary folder and saves path to it in a 'tempd' variable.,tempd=`mktemp -d` Creates temporary folder and save path to that in a TMPDIR variable.,TMPDIR=$(mktemp -d) Creates temporary folder in /tmp/ (by default) with 10-letter suffux.,mktemp -d -t "Creates temporary folder in a TMPDIR folder or /tmp folder if TMPDIR doesn`t defined, with folder name like current shell name and 10-letter suffix, and saves created path in 'mydir' variable.","mydir=$(mktemp -d ""${TMPDIR:-/tmp/}$(basename $0).XXXXXXXXXXXX"")" "Creates temporary folder in TMPDIR (if defined) or in '/tmp/', and stores path to created folder in 'dir' variable.",dir=$(mktemp -d) "Creates temporary folder in TMPDIR (if defined) or in '/tmp/', and stores path to created folder in 'tmpdir' variable.",tmpdir=$(mktemp -d) Creates temporary folder like '/tmp/tardir-XXXXXX' with 6-letter suffix and saves its path in 'tmpdir' variable.,tmpdir=$(mktemp -d /tmp/tardir-XXXXXX) Creates temporary folder relative to directory '/path/to/dir'.,mktemp -d -p /path/to/dir Creates temporary folder within a $mnt_dir folder and saves path to it in a 'rsync_src' variable.,rsync_src=`mktemp -d -p $mnt_dir` "Creates temporary folder within TMPDIR, with name like current shell name and 10-letter suffix.","mktemp -dt ""$(basename $0).XXXXXXXXXX""" "Cuts off last part from the path $dir, and deletes resulted folder if empty.","rmdir ""$(dirname $dir)""" "Decompress ""/file/address/file.tar.gz"" to standard output",gzip -dc /file/address/file.tar.gz "Decompress ""path/to/test/file.gz"" to standard output and save all lines matching ""my regex"" and not matching ""other regex"" to files with a 1000000 line limit",gzip -dc path/to/test/file.gz | grep -P 'my regex' | grep -vP 'other regex' | split -dl1000000 - file "Decompress ""path/to/test/file.gz"" to standard output and save all lines matching ""my regex"" to files with a 1000000 line limit",gzip -dc path/to/test/file.gz | grep -P --regexp='my regex' | split -dl1000000 - file Decompress ${set1[@]} files with gzip,gzip -d ${set1[@]} & Decompress 'file.gz',gzip -d file.gz Decompress 'file.gz' to standard output and execute the output in bash,gzip -d --stdout file.gz | bash "Decompress and unarchive ""hello-0.2.tar.gz""",gzip -dc hello-0.2.tar.gz | tar -xf - Decompress and extract '/usr/src/redhat/SOURCES/source-one.tar.gz',gzip -dc /usr/src/redhat/SOURCES/source-one.tar.gz | tar -xvvf - "Decompress and sort ""$part0"" and ""$part1"" of files in different processes",sort -m <(zcat $part0 | sort) <(zcat $part1 | sort) "Decompresses each of ""*bz2"" files under the current folder, redirecting output to the standard out, and prints only fourth of comma-separated fields.","find . -name ""*.bz2"" -print0 | xargs -I{} -0 bzip2 -dc {} | cut -f, -d4" Decompresses file.,bzip2 -d /tmp/itunes20140618.tbz "Decompresses file 'xac.bz2', redirecting output to standard out.",bzip2 -dc xac.bz2 "Delete all "".DS_Store"" files/directories under test directory","find test -name "".DS_Store"" -delete" "delete all the "".bak"" or swap files in kat folder","find kat -type f \( -name ""*~"" -p -name ""*.bak"" \) -delete" Delete all '-' character from $1 and save the resultant string to variable 'COLUMN',COLUMN=`echo $1 | tr -d -` Delete all 'restore.php' files in /var/www and 3 levels below,find /var/www -maxdepth 4 -name 'restore.php' -exec rm -r {} \; Delete all .bam files in the current directory tree,"find . -name ""*.bam"" | xargs rm" Delete all the .c files present in the current directory and below,"find . -name ""*.c"" | xargs rm -f" Delete all .pyc files in the current directory tree,"find . -name ""*.pyc"" | xargs -0 rm -rf" Delete all .svn files/directories under current directory,find . -depth -name .svn -exec rm -fr {} \; Delete all .svn subdirectories under current directory,"rm -rf `find . -type d -name "".svn""`" Delete all 1US* (case insensitive) files under current directory,"find . -iname ""1US*"" -exec rm {} \;" delete all the backup files in current directory,"find . -name ""*.bak"" -delete" Delete all broken symbolic links under '/usr/ports/packages' directory tree,find -L /usr/ports/packages -type l -exec rm -- {} + Delete all but the most recent 5 files,ls -tr | head -n -5 | xargs rm Delete all directories found in $LOGDIR that are more than a work-week old,find $LOGDIR -type d -mtime +5 -exec rm -rf {} \; Delete all directories under '.cache/chromium/Default/Cache' directory tree that are at least 1 level deep and are bigger than 100 MB in size,find .cache/chromium/Default/Cache/ -mindepth 1 -type d -size +100M -delete Delete all directories under '.cache/chromium/Default/Cache/' directory tree that are bigger than 100MB and are at least 1 level deep,find .cache/chromium/Default/Cache/ -mindepth 1 -type d -size +100M -exec rm -rf {} \; Delete all empty directories in minimum 1 level down the directory 'directory',find directory -mindepth 1 -type d -empty -delete delete all empty files in the current directory ( empty file = size 0 bytes ),find . -empty -exec rm '{}' \; delete all the empty files in the current directory only if they are ok and the user has the permission to delete them,find . -empty -ok rm {}\; Delete all empty files in the current directory tree,find . -type f -empty -delete delete all the empty files(files with size 0 bytes) in the current folder,find . -empty -delete -print delete all the empty in the current folder do not search in sub directories,find . -maxdepth 1 -type d -empty -exec rm {} \; Delete all files and directories,find -delete Delete all files/directories in minimum 2 levels down the root directory,find root -mindepth 2 -delete Delete all files/directories named 'file' under current directory tree,find -name file -delete Delete all files/directories named 'sample' (case insensitive) under '/home/user/Series/' directory tree as super user,sudo find /home/user/Series/ -iname sample -print0 | sudo xargs -0 rm -r Delete all files/directories named test under maximum 2 level down the current directory,"find . -maxdepth 2 -name ""test"" -exec rm -rf {} \;" Delete all files/directories older than 48 hours in /path/to/files* paths,find /path/to/files* -mtime +2 -delete Delete all files/directories under current directory tree excluding '.gitignore' files/directories and files/directories matching the patterns '.git' or '.git/*' in their paths,find . ! -name '.gitignore' ! -path '.git' ! -path '.git/*' -exec rm -rf {} \; Delete all files/directories under current directory tree with '.$1' extension where $1 expands as the first positional parameter,"find . -name ""*.$1"" -delete;" Delete all files/directories with '.old' extension under current directory tree,find . -name “*.old” -delete Delete all files/directories with inode number 117672808 under current directory tree,find -inum 117672808 -exec rm {} \; Delete all files beneath the current directory that begin with the letters 'Foo'.,"find . -type f -name ""Foo*"" -exec rm {} \;" "delete all the files ending with ""~"" in current folder",find -name '*~' -delete "Delete all the files found in the current directory tree whose names begin with ""heapdump""",find . -name heapdump* -exec rm {} \ ; Delete all files in the $DIR directory that have not been accessed in 5 or more days.,"find ""$DIR"" -type f -atime +5 -exec rm {} \;" Delete all files in the /TBD directory that were modified more than 1 day ago,find /TBD/* -mtime +1 -exec rm -rf {} \; Delete all files in the current directory.,find . -exec /bin/rm {} \; Delete all files in the current directory tree whose names end with ~,"find . -name ""*~"" -delete" delete all the files in the current folder,find . -delete delete all the files in the current folder which have been modified in the last 14*24 hours,find . -mtime -14 -print|xargs -i rm \; delete all the files in the current folder which do not belong to any user,find . -nouser | xargs rm delete all the files in the file system which belong to the user edwarda,"find / -user edwarda -exec rm ""{}"" \;" delete all the files in the file system which belong to the user edwarda after user confirmation,"find / -user edwarda -ok rm ""{}"" \;" "Delete all files named ""filename"" in the current directory tree, except the one with path ./path/to/filename","find . -name ""filename"" -and -not -path ""./path/to/filename"" -delete" "Delete all files named ""filename"" in the current directory tree, except those with paths ending in ""/myfolder/filename""","find . -name ""filename"" -and -not -path ""*/myfolder/filename"" -delete" Delete all files named '-F' under current directory tree,"find . -name ""-F"" -exec rm {} \;" Delete all files named 'sample' (case insensitive) under '/home/user/Series' directory tree with superuser privilege,sudo find /home/user/Series/ -iname sample -exec rm {} \; Delete all files that have not been accessed in the last 30 days,find . -type f -atime +30 -exec rm {} \; Delete all files under $DESTINATION directory tree that were modified more than 7 days ago,find $DESTINATION -mtime +7 -exec rm {} \; Delete all files under $INTRANETDESTINATION/weekly directory tree that were modified more than 32 days ago,find $INTRANETDESTINATION/weekly -mtime +32 -exec rm {} \; Delete all files under /path/to/files that are not newer than dummyfile,find /path/to/files -type f ! -newer dummyfile -delete Delete all files under /path/to/input/ that match the case insensitive string literal 'spammer@spammy.com' in their contents,find /path/to/input/ -type f -exec grep -qiF spammer@spammy.com \{\} \; -delete Delete all files under and below the current directory,find -mindepth 1 -delete Delete all files under current directory tree with '.$1' extension where $1 expands as the first positional parameter,"find . -name ""*.$1"" -exec rm {} \;" Delete all files under root whose status were changed more than 30 minutes ago,find root -type -f -cmin +30 -delete Delete all files under user's home directory tree that were accessed more than 365 days after their status was changed,find ~ -used +365 -ok rm '{}' ';' "delete all the files which start with ""Tes"" in the current folder","find . -type f -name ""Tes*"" -exec rm {} \;" Delete all files with ' .o' extension in the entire filesystem,"find project / src / -name ""* .o"" -exec rm -f {} \;" Delete all files with 128128 inode number under current directory tree,find . -inum 128128 | xargs rm Delete all files with indoe number $inum under current directory tree,find . -inum $inum -exec rm {} \; Delete all hard links to the physical file with inode number 2655341,find /home -xdev -inum 2655341 | xargs rm Delete all hidden files/directories under $some_directory including $some_directory,find $some_directory '.*' -delete Delete all hidden files in the directory tree given as variable $FOLDER,"find $FOLDER -name "".*"" -delete" Delete all HTML files under tree ~/mydir,find ~/mydir -iname '*.htm' -exec rm {} \; "delete all instances of the file ""bad"" if its size is 0 bytes",find . -name bad -empty -delete delete all the log files which have not been modified in the last 5 days after user confirmation,"find . — name ""*.LOG"" — mtime +5 -ok rm {} \;" delete all the mp3 files in the current folder.,"find . -type f -name ""*.mp3"" -exec rm -f {} \;" delete all the mp3 files in the home folder,find /home/ -exec grep -l “mp3” {} \; | xargs rm Delete all MP3 files under /tmp,find /tmp -iname '*.mp3' -print0 | xargs -0 rm delete all the mp4 files in the folder /home which are bigger than 10MB,find /home -type f -name *.mp4 -size +10M -exec rm {} \; "Delete all non digits from index ""$i"" in bash array ""depsAlastmodified"" and print the hex dump as characters","echo ""${depsAlastmodified[$i]}"" | tr -cd '[[:digit:]]' | od -c" delete all the normal files in the current folder and do not delete those in the subfolders,find . -maxdepth 1 -type f -delete delete all the php files in the folder /var/www,find /var/www/*.php -type f -exec rm {} \; delete all the regular files in the temp folder which have not been changed in the last 15*24 hours,find /tmp/ -ctime +15 -type f -exec rm {} \; delete all the regular files in the temp folder which have not been modified in the last 24 hours,find /tmp/ -type f -mtime +1 -delete Delete all regular files named 'FindCommandExamples.txt' under current directory tree,"find . -type f -name ""FindCommandExamples.txt"" -exec rm -f {} \;" Delete all regular files named 'IMAG1806.jpg' under current directory tree,"find . -type f -name ""IMAG1806.jpg"" -exec rm -f {} \;" Delete all regular files named 'IMAGE1806.jpg' under current directory tree,find . -type f -name 'IMAGE1806.jpg' -delete Delete all regular files that have not been modified in the last 60 weeks under $DIR directory tree,find $DIR -type f -mtime +60w -exec rm {} \; "Delete all regular files that reside in directory $OUTPUTDIR and below, and were last modified more than 7 days ago",find $OUTPUTDIR -type f -mtime +7 -delete "Delete all regular files that start with 'sess_' in their names, are at least 1 level deep and were modified more than $gc_maxlifetime minutes ago under $save_path directory tree","find -O3 ""$save_path"" -depth -mindepth 1 -name 'sess_*' -ignore_readdir_race -type f -cmin ""+$gc_maxlifetime"" -delete" Delete all regular files under $DIR directory tree that have been modified before file $a,"find ""$DIR"" -type f \! -newer ""$a"" -exec rm {} +" Delete all regular files with '.cache' extension that were accessed more than 30 days ago under $HOME/Library/Safari/Icons directory tree,"find $HOME/Library/Safari/Icons -type f -atime +30 -name ""*.cache"" -print -delete" Delete all regular files with inode number 314167125 under current directory tree,find . -type f -inum 314167125 -delete delete all the text files from the current folder after user confirmation,"find . -name ""*.txt"" -ok rm {} \;" delete all the text files in the current folder,"find . -type f -name ""*.txt"" -delete" delete all the text files in the current folder.,"find . -type f -name ""*.txt"" -exec rm -f {} \;" delete all text files in the entire file system,"find / -type f -name ""*.txt"" -print | xargs rm" delete all text files in the home folder after user confirmation,"find $HOME/. -name ""*.txt"" -ok rm {} \;" delete all the tmp files ( files with the extension tmp ) in the /tmp folder,"find /tmp -name ""*.tmp"" | xargs rm" "delete all the trace files ("".trc"") from the folder $DBA/$ORACLE_SID/bdump/ which have not been accessed in the last 7*24 hours",find $DBA/$ORACLE_SID/bdump/*.trc -mtime +7 -exec rm {} \; "delete all the trace files ("".trc"") which have not been been accessed in the last 30*24 hours",find /dirpath \( -name \*.trc -a -mtime +30 \) -exec rm {} \; "Delete characters in columns 36 through 40 from the output of ""finger""",finger | cut --complement -c36-40 Deletes empty folder 'nonsense_dir'.,rmdir nonsense_dir Delete everything in the current directory,find -mindepth 1 -maxdepth 1 -print0 | xargs -0 rm -rf Delete files containing whitespaces,"find . -name ""* *"" -exec rm -f {} \;" Delete files containing whitespaces without recursion,"find . -name '*[+{;""\\=?~()<>&*|$ ]*' -maxdepth 0 -exec rm -f '{}' \;" Delete files in $DIR_TO_CLEAN older than $DAYS_TO_SAVE days,"find ""$DIR_TO_CLEAN"" -mtime +$DAYS_TO_SAVE -exec rm {} \;" Delete files in /var/tmp/stuff and below that have not been modified in over 90 days,find /var/tmp/stuff -mtime +90 -delete "Delete files in the DIR directory tree whose names begin with ""2015"" and contain ""album"" or ""picture""",find DIR \( -name 2015\* -a \( -name \*album\* -o -name \*picture\* \) \) -delete Delete files older than 31 days,find ./ -mtime +31 -delete Delete files under $LOCATION that match $REQUIRED_FILES in their names and were modified more than 360 minutes ago,find $LOCATION -name $REQUIRED_FILES -type f -mmin +360 -delete Delete the files under the current working directory with inode numbers specified on standard input,"xargs -n 1 -I '{}' find ""$(pwd)"" -type f -inum '{}' -delete" Delete files with inode number specified by [inode-number] under current directory,find . -inum [inode-number] -exec rm -i {} \; "Deletes folder like /tmp/*/* or deeper, older than +7 days if they don`t contain files or other folders.",find /tmp/*/* -mtime +7 -type d -exec rmdir {} \; "Delete history entry at offset, defined in first argument of executed script","history -d ""$1""" Delete interactively all the files/directories with inode number 782263 under current directory tree,find . -inum 782263 -exec rm -i {} \; "Delete line 2 in numbered file ""file"" and renumber",grep -v '^2 ' file | cut -d' ' -f2- | nl -w1 -s' ' Delete the oldest file with '.tgz' or '.gz' extension under '/home/backups' directory tree,ls -tr $(find /home/backups -name '*.gz' -o -name '*.tgz')|head -1|xargs rm -f "delete recursively, without prompting, any files or directories under the current directory that case insensitively match the filename "".svn""",find . -iname .svn -exec rm -rf {} \; "delete what was typed in the command line and run ""pwd"" when button ""\e[24~""","bind '""\e[24~"":""\C-k \C-upwd\n""'" "Delimit standard input with "":"" and display as a table",column -s: -t To descend at most one levels of directories below the command line arguments pass the -maxdepth 1 option. This will avoid deleting nested directories:,"find . -maxdepth 1 -type d -iname "".[^.]*"" -print0 | xargs -I {} -0 rm -rvf ""{}""" Descend into every directory under /etc and print the file/directory names with relative paths,"find /etc -execdir echo ""{}"" ';'" Determine the user associated with stdin,who -m Disables shell option 'compat31'.,shopt -u compat31 Disables shell option 'dotglob'.,shopt -u dotglob Disables shell option 'nocasematch'.,shopt -u nocasematch Disables shell option 'nullglob'.,shopt -u nullglob Discard the first letter from every line in $line and calculate the md5 sum of the remaining,echo $line | cut -c2- | md5sum "Display ""/tmp/file"" as a table of width 30 with columns filled before rows",column -x -c 30 /tmp/file "Display ""infile"" as printable characters or backslash escapes",cat infile | od -c display ten files in the tmp directory,find /tmp | head Display 12345 backwards,echo 12345 | rev Display 798 backwards,echo 798|rev "Display a character dump of ""oldfile""",od -c oldfile Display a count of regular files in each directory at the current level.,find -P . -type f | rev | cut -d/ -f2- | rev | cut -d/ -f1-2 | cut -d/ -f2- | sort | uniq -c display a list of all the files in the file system which do not belong to any group and search only in jfs and jfs2 file systems,find / -nogroup \( -fstype jfs -o -fstype jfs2 \) -ls display a list of all the files in the file system which do not belong to any user and search only in jfs and jfs2 file systems,find / -nouser \( -fstype jfs -o -fstype jfs2 \) -ls display a list of all files in the folder passed as argument to a script,find $@ -ls display a list of all the files in the home folder which have been modified today,find ~ -type f -mtime 0 -ls display a list of all java or jsp files in the current folders,find . \( -name '*jsp' -o -name '*java' \) -type f -ls display a list of all regular/normal files in the current folder,find . -type f -ls "display a list of all the normal/regular files in the file system ,excluding the folder proc which have the suid or sgid bit set",find / -path /proc -prune -o -type f -perm +6000 -ls Display a list of files with sizes in decreasing order of size of all the regular files under '/your/dir' directory tree that are bigger than 5 MB in size,find /your/dir -type f -size +5M -print0 | xargs -0 ls -1Ssh "display a long listing of all the ""Trash"" files in the folder /home",find /home -name Trash -exec ls -al {} \; display a long listing of all the directories in current directory,find . -type d -ls display a long listing of all the directories in the current folder,find . -type d -exec ls -algd {} \; display a long listing of all the directories in the entire file system,find / -print0 -type d | xargs -0 ls -al Display a long listing of all directories under '/nas' directory tree,find /nas -type d -ls display a long listing of all the empty files in the entire file system which are empty,find / -type f -size 0 -exec ls -l {} \; Display a long listing of all files/directories that are bigger than 10MB under '/var/' directory tree,find /var/ -size +10M -ls display a long listing of all the files in the /var folder which are bigger than 10MB. print0 is used to handle the files which have new lines in their names,find /var -size +10000k -print0 | xargs -0 ls -lSh display a long listing of all the files in the current folder,find . — type f -exec ls -1 {} \; display a long listing of all the files in the current folder that have been accessed in today from the start of the day,find -daystart -atime 0 -ls display a long listing of all the files in the current folder which are bigger than 10KB,find . -size +10k -exec ls -lh {} \+ dispaly a long listing of all the files in the current folder which have been modified in the last 14 days,find . -mtime -14 -ls display a long listing of all fles in current folder which have been modified in the last 60 minutes,find . -mmin -60 -ls "display a long list of all the files in the directory ""/mydir"" which have not been modified in the last 20*24 hours or which have not been accessed in the last 40*24 hours",find /mydir \(-mtime +20 -o -atime +40\) -exec ls -l {} \; display a long listing of all files in the entire file system which are bigger than 1MB,find / -size +1000k -exec ls -l {} \; -print display a long ilsting of all the files in the file system which are bigger than 1KB and which have not been modified in the last 30*24 hours,find / -size +1000 -mtime +30 -exec ls -l {} \; display a long listing of all the files in the home folder which are bigger than 200MB,find /home -size +200M -exec ls -sh {} \; "display a long listing of all the files that begin with the name ""Metallica"" in the entire file system",find / -name 'Metallica*' -exec ls -l {} \; "display a long listing of all images with the name ""articles"" in the current folder","find . -iname ""Articles.jpg"" -exec ls -l {} \;" "display a long listing of all images with the name ""articles"" in the current folder ( print0 is used to preserve file names with new line in their name )","find . -iname ""Articles.jpg"" -print0 | xargs -0 ls -l" display a long listing of all the java files in the current folder in sorted order,find . -type f -name '*.java' -ls | sort -k +7 -r display a long list of all the jpg files in the home folder,find ~ -iname '*.jpg' -exec ls {} \; display a long list of all the jpg files in the home folder(plus at the end is used to give bulk data as input),find ~ -iname '*.jpg' -exec ls {} + display a long listing of all the normal/regular files in the current folder (print0 is used to handle files which have newlines in their names or files with the name only as spaces ),find . -type f -print0 | xargs -0 ls -l display a long listing of all regular files in current folder which have been modified in the last 60 minutes,find . -mmin -60 -type f -exec ls -l {} \; display a long list of all regular/normal files in the file system which belong to the root and with suid bit set,find / -type f -user root -perm -4000 -exec ls -l {} \; display a long listing of all the regular/normal files in the file system which have set uid bit or set gid bit enabled.,find / -type f \( -perm -4000 -o -perm -2000 \) -exec ls -l {} \; Display a long listing of all the regular files owned by the user 'bluher' in the entire filesystem,find / -type f -user bluher -exec ls -ls {} \; Display a long listing of all regular files that are less than 50 bytes in size under '/usr/bin' directory tree,find /usr/bin -type f -size -50c -exec ls -l '{}' ';' display a long listing of all the xls or csv files in the entire file system,"find / -regex "".*\.\(xls\|csv\)""" display a long listing of the files all non emoty files in current folder which have been modified 60 minutes ago,find . -mmin 60 -print0 | xargs -0r ls -l "Display a long listing of the files/directories with human readable sizes (100M, 10G etc..) under '/var' directory tree which are bigger than 10MB",find /var/ -size +10M -exec ls -lh {} \; display a long listing of the files in current folder which have been modified in the last 60 minutes,find . -mmin -60 -type f -exec ls -l {} + display a long list of the files in the current folder which have the word fuddel in all the files in the current folder and then search for the word fiddel in the previously matched files,"find -exec grep -q fuddel {} "";"" -exec grep -q fiddel {} "";"" -ls" "Display a named character dump of ""test.sh""",od -a test.sh "Display a sorted count of all the characters in ""filename""",fold -w1 filename | sort | uniq -c | sort -nr "display all the "".c"" files in the current directory",find . -name \*.c -print "display all the "".c"" files in the current folder excluding those that are present in the .svn sub folder","find . -name .svn -prune -o -name ""*.c"" -print" "display all the "".c"" files in the current folder excluding those that are present in all the sub directories","find . \( ! -name . -prune \) -name ""*.c"" -print" "display all the "".c"" files in the folder ""/home/david"" which have been accessed in the last 48 hours",find /home/david -atime -2 -name '*.c' "display all the "".c"" files which have been modified in the last 10 minutes",find /home/david -amin -10 -name '*.c' "display all the "".mov"" files in the current folder","find . -name ""*.mov""" "display all the "".mov"" video files in the current folder in the format filename and folder path","find . -iname ""*.mov"" -printf ""%p %f\n""" "display all the "".sh"" files in the current folder",find -name *.sh "display all the ""C"" files in the current folder","find . -name ""*.c""" display all the .sh scripts and perl files in the current folder,"find . -type f \( -iname ""*.sh"" -or -iname ""*.pl"" \)" "display all the C, CPP, Header files in the kat folder","find kat -type f \( -name ""*.c"" -o -name ""*.cpp"" -o -name ""*.h"" \)" display all the c files and the header files in the path /some/dir and do not search in sub directories,find /some/dir -maxdepth 1 \( -name '*.c' -o -name '*.h' \) -print "display all the C files or Python files in the folder ""euler""","find euler/ -iname ""*.c*"" -exec echo {} \; -or -iname ""*.py"" -exec echo {} \;" "display all the configuration files in ""/etc"" folder along with their last access and modified timestamps","find /etc -name ""*.conf"" -printf ""%f accessed %AF %Ar, modified %TF %Tr\n""" display all the configuration files in the current folder which are in the current tree structure,find . -path '*/*config' display all the configuration files in the etc folder,find /etc -name '*.conf' display all the details of empty files in current folder,find . -size 0 -printf '%M %n %u %g %s %Tb\n \b%Td %Tk:%TM %p\n' display all directories in a folder,find /etc -type d -print display all directories in current folder,find -type d display all the directories in the current folder,find . -type d display all directories in current folder and do not search in sub directories,find . -maxdepth 1 -mindepth 1 -type d display all directories in current folder excluding those that are present in .git folder,find . -iregex '.*/.git/.*' -prune -o -type d -name 'CVS' display all the directories in the current folder excluding those that are present in the .svn directory tree,find -type d -path '.svn' -prune -o -print display all the directories in the current folder excluding those that are present in the aa directory tree,find . -type d -name aa -prune display all the directories in the current folder excluding those that are present in the folder secret,find . -name secret -type d -prune -o -print "display all the directories in the current folder excluding those that have the name ""node_modules""","find . ! -name ""node_modules"" -type d" display all the directories in the current folder for the files which have not been accessed in the last 48 hours,find . -type d -atime +2 display all directories in the entire file system,find / -type d -print "display all directories in the folder ""$ORIG_DIR""","find ""$ORIG_DIR"" -name ""*"" -type d" "display all directories in the folder ""/myfiles""",find /myfiles -type d display all the directories in the folder /path/to/dest except tmp and cache directories,find /path/to/dest -type d \( ! -name tmp \) -o \( ! -name cache \) -print display all the directories in the folder /path/to/dest except tmp directory,find /path/to/dest -type d \( ! -name tmp \) -print display all the directories in the folder /usr/share,find /usr/share -type d display all the directories in the folder master-,find master -type d | sort display all directories in the folder Symfony,find Symfony -type d display all directories which have not been accessed in the last 24*3 hours,find -type d -and -atime +3 display all empty files in the current folder,find . -size 0k display all the empty files in current folder,find . -empty display all the empty files in the entire file system,find / -size 0 -print display all the empty files in the folder /opt (file size 0 bytes),find /opt -type f -empty display all empty files(files with sisze 0 bytes) in home folder,find ~ -empty dispaly all the empty regular/normal files in the current folder,find . -type f -empty display all executable files in the folder /home,find /home -perm /a=x Display all the files/directories under '/home/bozo/projects' directory tree that have been modified within the last day,find /home/bozo/projects -mtime -1 "display all the files and directories with the name ""CVS"" from /usr/src folder that are at least seven levels deep and do not descend onto the folders",find /usr/src -name CVS -prune -o -depth +6 -print dsisplay all files inthe current folder,find . "display all files ending with "".ext"" in current folder and append the file contents of list.txt and sort them based on name and display only uniq items",find . -name \*.ext | cat - list.txt | sort | uniq -u "display all the files ending with "".foo"" excluding those that are in the directory "".snapshot""",find . -name .snapshot -prune -o -name '*.foo' -print "display all the files ending with "".foo"" including those that are in the directory "".snapshot"", this is the wrong way of using prune.",find . \( -name .snapshot -prune -o -name '*.foo' \) -print "display all the files ending with "".user"" in /var/adm/logs/morelogs/ and excluding all regular files","find /var/adm/logs/morelogs/* -type f -prune -name ""*.user"" -print" "display all the files ending with "".user"" or beginning with ""admin"" or ending with "".user.gz"" in /var/adm/logs/morelogs/ and excluding all regular files","find /var/adm/logs/morelogs/* -type f -prune \( -name ""admin.*"" -o -name ""*.user"" -o -name ""*.user.gz"" \) -print" "display all files ending with ""ini"" in current folder",find . -type f -name '*.ini' display all the files having spaces in the current folder,"find . -name ""filename including space""" "display all the files having the word ""searched phrasse"" in their name in the current folder excluding those from the paths ""/tmp/"" and ""./var/log""","find . -type f -name ""*searched phrasse*"" ! -path ""./tmp/*"" ! -path ""./var/log/*""" display all the files in the kat folder,"find kat -printf ""%f\n""" display all the files in the current directory and do not search in sub directories,find . -maxdepth 1 -print0 display all the files in the current directory and do not search in the sub directories,find . -maxdepth 0 -print display all the files in the current directory excluding those that are in the 'secret' directory,find . -name 'secret' -prune -o -print "display all files in the current directory excluding those that are present in the directories whose name starts with ""efence"" and do not search in the sub directories","find * -maxdepth 0 -name ""efence*"" -prune -o -print" "Display all files in the current directory tree that match ""*foo""","tree -P ""*foo""" display all files in the current folder after pruning those in the current folder ( dot is the output of this command ),find . -prune -print display all the files in the current folder along with the change time and display file names of the last 10 changed files,"find . -type f -printf ""%C@ %p\n"" | sort -rn | head -n 10" display all files in the current folder along with their last access timestamps,"find . -printf ""%h/%f : dernier accès le %Ac\n""" display all files in the current folder along with their last accessed timestamps,"find . -printf ""%h/%f : dernier accès le %AA %Ad %AB %AY à %AH:%AM:%AS\n""" display all the files in the current folder along with the modification time and display file names of the last 10 modified files,"find . -type f -printf '%T@ %p\n' | sort -n | tail -10 | cut -f2- -d"" """ display all files in the current folder and do not search in the sub directories,find . -maxdepth 0 display all the files in the current folder and do not search in sub directories and move them to the directory /directory1/directory2.,find . -maxdepth 1 -type f | xargs -I ‘{}’ sudo mv {} /directory1/directory2 display all the files in the current folder and traverse from the sub directories,find . -type d -depth "display all files in current folder ending with ""~"" or ""#"" using regular expression","find -regex ""^.*~$\|^.*#$""" "display all the files in the current folder except those whose name is ""PERSONAL""",find . -name PERSONAL -prune -o -print display all files in current folder excluding current folder (.),find . \! -name '.' display all the files in the current folder excluding the current folder and do not search in the sub directories,find . -maxdepth 1 -type d \( ! -name . \) display all the files in the current folder excluding the directory aa,find . -type d ! -name aa display all the files in the current folder excluding the files with the name mmm,find . -name mmm -prune -o -print display all the files in the current folder excluding the perl files,"find . -not -name ""*.pl""" "display all the files in the current folder excluding search in the paths containing the folder having the word ""mmm""",find . ! -path *mmm* display all files in current folder excluding text files,"find . ! -name ""*.txt""" "display all the files in the current folder excluding those ending with "".disabled"" in sorted order",find /target/ | grep -v '\.disabled$' | sort "display all the files in the current folder excluding those that are present in the folder ""secret""",find . \( -name 'secret' -a -prune \) -o -print "display all the files in the current folder excluding those that are present in the path ""./etc""","find . ! -wholename ""./etc*""" "display all files in current folder excluding those that have the word ""git"" in their name and display files that have git in their path names",find . ! -name '*git*' | grep git "display all the files in the current folder excluding those which are in the path of "".git""","find . ! -path ""*.git*"" -type f -print" "display all the files in the current folder excluding those which are present in ""./src/emacs"" folder",find . -path './src/emacs' -prune -o -print display all the files in the current folder expect perl shell and python fiels,"find . -not -name ""*.pl"" -not -name ""*.sh"" -not -name ""*.py""" display all the files in the current folder for the files which have been accessed in the last 24 hours,find . -type f -atime -1 display all the files in the current folder in a single line separated by null command,sudo find . -print0 display all the files in the current folder that are at least one week old (7 days) but less then 30 days old,find . -mtime +30 -a -mtime -7 -print0 display all files in the current folder that have been modified in the last 24 hours whose name has only 1 letter,find . -name \? -mtime -1 "display all the files in the current folder that end with "".ksh""","find . -name ""*.ksh"" -prune" display all files in current folder using regular expression,"find -regex ""$rx""" display all files in current folder which are bigger than 100KB but are less than 500KB,find . -size +100k -a -size -500k display all the files in the current folder which are bigger than 100MB and save the output list to the file /root/big.txt,find \( -size +100M -fprintf /root/big.txt %-10s %p\n \) display all the files in current folder which are bigger than 10KB,find . -size +10k "display all the files in the current folder which are in the path ""./sr*sc""",find . -path './sr*sc' display all the files in the current folder which are in the path ending with the folder f,find . -path '*f' display all files in the current folder which are not empty,find . ! -size 0k "display all the files in the current folder which are present in the path ""./src/emacs""",find . -path './src/emacs' -prune -o -print display all the files in current folder which have been accessed in the last 15 days,find . -atime -15 display all the files in the current folder which have been accessed in the last 60 minutes,find . -amin -60 display all the files in current folder which have been changed in the last 2-6 days,find . -cmin +2 -cmin -6 display all the files in current folder which have been changed in the last 24 hours,find . -ctime -1 -print "display all the files in the current folder which have been modified after the files ""/bin/sh""",find . -newer /bin/sh display all the files in the current folder which have been modified between two dates,find . -newermt “Sep 1 2006” -and \! -newermt “Sep 10 2006” display all the files in the current folder which have been modified in the last 14*24 hours,find . -mtime -14 -print display all the files in the current folder which have been modified in the last 2 days,find . -mtime -2 display all the files in the current folder which have been modified in the last 24 hours,find . -mtime -1 display all the files in the current folder which have been modified in the last 24 hours excluding all directories,find . \( -type d ! -name . -prune \) -o \( -mtime -1 -print \) display all the files in the current folder which have been modified in the last 5*24 hours,find . -mtime -5 display all files in current folder which have been modified in the last 60 minutes,find -mmin 60 display all the files in the current folder which have colon in their name,"find . -name ""*:*""" "display all the files in the current folder which end with "".bash""","find . -name ""*.bash""" "display all files in the current folder which end with extension ""myfile"" followed by two digits",find . -regex '.*myfile[0-9][0-9]?' "display all files in the current folder which end with extension ""myfile"" followed by one digit or two digits","find . -\( -name ""myfile[0-9][0-9]"" -o -name ""myfile[0-9]"" \)" "display all the files in the current folder which hare in the sub directory trees of the folders which begin with the word ""kt"" followed by a digit",find . -path './kt[0-9] ' display all the files in current folder which have not been modified in the last 7 days,find . -mtime +7 "display all the files in the current folder which have not been modified in the last 7 days and which are not in the list ""file.lst""",find -mtime +7 -print | grep -Fxvf file.lst display all the files in the current folder which do not belong to any group,find . -nogroup display all the files in the current folder which do not belong to any user,find . -nouser display all files in the current folder which do not belong to the user john,find . ! -user john display all the files in the current folder which have the permissions 777 and which have been modified in the last 24 hours.,find . -perm 777 -mtime 0 -print "display all the files in current folder which start with ""file2015-0""","find . -name ""file2015-0*""" "display all the files in the current folder which start with either ""fileA_"" or ""fileB_""",find . -name 'fileA_*' -o -name 'fileB_*' display all files in the current folder which start with met,find -name met* "display all the files in the current folder which have the word ""bills"" in their name",find . -name '*bills*' -print display all the files in current folder which have write permission to all the users,find . -perm /222 display all files in the current folder with the name test excluding those that are present folder test,find . -name test -prune -o -print display all files in the current folder with the name test excluding those that are present in the sub folders of the test folder,find . -name test -prune display all files in current folder with NULL separating each file,find . -print0 "display all files in the directory ""dir"" which have been changed in the last 60 minutes",find /dir -cmin -60 display all the files in the directory modules,find . -name modules display all files in the entire file system,find / display all the files in the entire file system,"find / -name ""*"" — print" display all the files in the entire file system which are bigger than 10MB,find / -size +10000k "display all the files in the entire file system which begin with ""apache-tomcat""","find / -name ""apache-tomcat*""" display all the files in the entire file system which have set uid bit set.,find / -perm -u+s -print "display all the files in the file system excluding all the "".c"" files","find / \! -name ""*.c"" -print" "display all files in the file system which are bigger than 50MB and having size ""filename"" in them","find / -size +50M -iname ""filename""" display all the files in the file system which are changed a minute ago,find / -newerct '1 minute ago' -print display all the files in the file system which are present in nfs system,find / -fstype nfs -print display all the files in the file system which are smaller than 20 bytes,find / -size 20 display all the files in the file system which have been modified in the last 10 minutes,find / -mmin -10 display all the files in the file system which belong to no group,find / -nogroup staff -print display all the files in the file system which belong to no user,find / -nouser -print "display all the files in the file system which belong to the user ""user1""",find / -user user1 "display all the files in the file system which belong to the user ""wnj"" and which are modified after the file ""ttt""",find / -newer ttt -user wnj -print "display all the files in the file system which do not belong to the user ""wnj"" and which are modified before the file ""ttt""",find / \! \( -newer ttt -user wnj \) -print "display all the files in the folder ""$ORIG_DIR""","find ""$ORIG_DIR""" "display all the files in the folder ""/home/mywebsite"" which have been changed in the last 7*24 horus",find /home/mywebsite -type f -ctime -7 "display all file in the folder /dir/to/search except "".c"" files","find /dir/to/search/ -not -name ""*.c"" -print" display all the files in the folders /etc /srv excluding the paths /etc/mtab and /srv/tftp/pxelinux.cfg,find /etc /srv \( -path /srv/tftp/pxelinux.cfg -o -path /etc/mtab \) -prune -o -print display all the files in the folder /etc /srv excluding those that are present in the path of ./srv/tftp/pxelinux.cfg* and /etc/mtab,"find /etc /srv \! -path ""./srv/tftp/pxelinux.cfg*"" -a \! -name /etc/mtab" display all the files in the folder /home which do not belong to the group test,find /home ! -group test display all the files in the folder /home which have the setuid bit enabled,find /home -perm /u=s "display all the file in the folder /home/david/ which start with the word ""index""",find /home/david -name 'index*' "display all the file in the folder /home/david/ which start with the word ""index"" ( case insensitive search)",find /home/david -iname 'index*' display all files in the folder /usr and its sub directory(do not search beyond the sub directory),find /usr -maxdepth 1 -print "display all files in the folder /usr/src excluding those ending with "",v""","find /usr/src ! \( -name '*,v' -o -name '.*,v' \) '{}' \; -print" display all the files in the folder a,find a Display all files in the folder home which are owned by the group test.,find /home -group test "display all the files in the folders mydir1, mydir2 which are bigger than 2KB and have not been accessed in the last 30*24 hours",find /mydir1 /mydir2 -size +2000 -atime +30 -print display all the files in the home folder,find $HOME -print display all the files in the home folder except text files,"find /home ! -name ""*.txt""" display all the files in the home folder excluding directories which have been modified in the last 24 hours,find /home/ -mtime -1 \! -type d display all the files in the home folder that have been modified in the last 7*24 hours,find $HOME -mtime -7 display all the files in the home folder which are smaller than 500 bytes,find $HOME -size -500b "display all the files in the home folder which begin with ""arrow""",find ~ -name 'arrow*' "display all the files in the home folder which begin with ""arrow"" and end with ""xbm""",find ~ -name 'arrow*.xbm' "display all the files in the home folder which end with "".xbm""",find ~ -name '*.xbm' display all the files in the home folder which have not been modified in the last 365*24 hours,find $HOME -mtime +365 display all the files in the home folder which have read permission to the user,find /home -perm /u=r display all the files in the user folder which have been modified after the files /tmp/stamp$$,find /usr -newer /tmp/stamp$$ display all the files in the usr folder and those that are in the path local,"find /usr/ -path ""*local*""" display all the files in the usr folder which have been modified after Feburary 1st,"find /usr -newermt ""Feb 1""" display all file names in current folder,find . -printf '%p ' "display all the files on the current folder excluding those that are present in the folder ""./src/emacs""",find . -path ./src/emacs -prune -o -print "display all the files only in the path ""./sr*sc""","find . -path ""./sr*sc""" "display all the files with the names ""name1"" and ""name2"" in the current folder and do not search in the sub directories","find . -maxdepth 1 -name ""name1"" -o -name ""name2""" display all the header files and cpp files in the current folder,find . -name \*.h -print -o -name \*.cpp -print display all hidden files in the current folder,"find . -type f -name "".*""" "display all the hidden files in the directory ""/dir/to/search/""","find /dir/to/search/ -name "".*"" -print" display all the hidden files in the folder /home,"find /home -name "".*""" "display all the home folder which end with the extension ""sxw"" and which have been accessed in the last 3*24 hours and which belong to the user bruno","find /home -type f -name ""*.sxw"" -atime -3 -user bruno" display all html files in current folder,"find -name ""*.htm"" -print" display all the html files in the current folder,"find . -name ""*.html"" -print" display all the html files in the current folder excluding search in the path ./foo,"find . -path ""./foo"" -prune -o -type f -name ""*.html""" display all the html files in the current folder that have been modified exactly 7*24 hours ago,"find . -mtime 7 -name ""*.html"" -print" display all the html files in the current folder that have been modified in the last 7*24 hours,"find . -mtime -7 -name ""*.html"" -print" display all the html files in the current folder that have not been modified in the last 7*24 horus,"find . -mtime +7 -name ""*.html"" -print" display all the html files in the folder /var/www,"find /var/www -type f -name ""*.html""" "display all instances of ""foo.cpp"" file in the current folder which are not in the sub directory tree "".svn""",find . -name 'foo.cpp' '!' -path '.svn' display all instances of the .profile file in the entire file system,find / -name .profile -print display all instances of the file tkConfig.sh in the folder /usr,find /usr -name tkConfig.sh display all the ip addresses in all the files that are present in /etc folder,find /etc -exec grep '[0-9][0-9]*[.][0-9][0-9]*[.][0-9][0-9]*[.][0-9][0-9]*' {} \; display all the java script files in a folder,find src/js -name '*.js' display all the java script files in the current folder,"find . -name ""*.js""" "display all the java, xml and action scripts (.as) files in a directory","find dir1 -type f -a \( -name ""*.java"" -o -name ""*.as"" -o -name ""*.xml"" \)" display all the jpg files in the current folder and do not search in sub directories,find . -maxdepth 1 -mindepth 1 -iname '*.jpg' -type f display all the jpg files in the current folder which belong to the user nobody,find . -name *.jpg -user nobody display all the jpg images in current folder,find . -type f -iregex '.*\.jpe?g' "(Linux specific) Display all lines containing ""IP_MROUTE"" in the current kernel's compile-time config file.",cat /boot/config-`uname -r` | grep IP_MROUTE Display all lines contiaining 'funcname' in system map file matching current kernel.,cat /boot/System.map-`uname -r` | grep funcname (Linux-specific) Display all lines containing PROBES in the current kernel's compile-time config file.,grep PROBES /boot/config-$(uname -r) "display all the log files in the folder /var/log, print0 is used to handle files with only spaces in their names or which have newlines in their names","find /var/log -name ""*.log"" -print0" display all non empty directories in current folder,find . \! -empty -type d display all normal / regular files in current folder in reverse order,find . -type f | tac display all pdf files in the current folder,find . -name *.pdf display all the php files in the entire file system,"find / -name ""*.php""" "display all the regular/normal files ending with "".mod"" in a folder","find ""$dir"" -name ""*.mod"" -type f -print0" display all the normal/regular files in a directory,find $dir -type f -name $name -print display all normal/regular files in a folder,find /home/the_peasant -type f display all the regular/normal files in a folder,find $FILES_PATH -type f display all normal/regular files in current directory,find . -type f display all the regular/normal files in the current directory which are atleast 2 levels deep,find . -mindepth 2 -type f display all the regular/normal files in current folder,find . -type f -name \* display all the normal/regular files in the current folder and do not go beyond 3 levels,find . -maxdepth 3 -type f display all the regular files in the current folder and do not search in sub folders,"find ""$dir"" -maxdepth 1 -type f" display all regular/normal files in the current folder ending with the word ummy,find -type f -name *ummy "display all the regular/normal files in the current folder excluding the files ""bbb"" and ""yyy""",find . \( -name bbb -o -name yyy \) -prune -o -type f -print display all the regular/normal files in the current folder excluding the files with the name mmm,find . -name mmm -prune -o -type f -print "display all regular files in current folder excluding search in the directories that are ending with ""git,svn""",find . \( -type d -regex '^.*/\.\(git\|svn\)$' -prune -false \) -o -type f -print0 display all normal/regular files in current folder in sorted order,find . -type f print0 | sort -r display all the regular files in the current folder that are bigger than 10KB,find . -type f -size +10k display all the regular files in the current folder that are exactly 10KB,find . -type f -size 10k display all the regular files in the current folder that are less than 10KB,find . -type f -size -10k "display all the regular files in the current folder that are modified after the file ""file.log""",find . -type f -newer file.log display all the regular/normal files in the current folder that are not accessed in the last 10 minutes,find . -type f -amin +10 "display all the regular files in current folder that belong to the user ""tom""",find . -type f -user tom display all regular/normal files in the current folder which are accessed in the last 7*24 hours,find . -type f -atime -7 display all the regular/normal files in the current folder which are modified after a file,"find . -type f -newer ""$FILE""" display all regular/normal files in the current folder which are not accessed in the last 7*24 hours,find . -type f -atime +7 display all the regular/normal files in current folder which have been modified exactly 60 minutes before,find . -mmin 60 -type f display all the regular files in the current folder which dont not have the permission 777,find . -type f ! -perm 777 display all normal/regular files in current folder which have readable permission,find . -type f -readable display all regular/normal files in the current folder with the name dummy,find -type f -name dummy display all the normal/regular files in the directory FOLDER1,find FOLDER1 -type f -print0 "display all normal/regular files in the folder ""$ORIG_DIR""","find ""$ORIG_DIR"" -name ""*"" -type f" "display all regular/normal files in the folder ""dir"" and display the filename along with file size","find dir -type f -printf ""f %s %p\n""" "display all normal/regular files in the folder ""pathfolder""",find pathfolder -type f display all regular/normal files in the folder /Users/david/Desktop/,find /Users/david/Desktop/-type f "display all the regular/normal files in the folder /path/ which have not been modified today ( from day start ie, 00:00 )",find /path/ -type f -daystart -mtime +0 display all regular/normal files in the folder Symfony,find Symfony -type f "display all normal/regular files or directories in the folder ""$ORIG_DIR""","find ""$ORIG_DIR"" -name ""*"" -type d -o -name ""*"" -type f" display all regular/normal files which have been modified in the last 30 minutes,find -type f -and -mmin -30 display all the regular/ normal files in a folder,find src/js -type f "display all scala files in the directory ""src/main""","find . -path ""*src/main*"" -type f -iname ""*\.scala*""" display all the soft links in a folder which are not broken,find -L /target ! -type l display all soft links in current folder,find . -type l display all sqlite files in the current directory along with their timestamp,"find ./ -name ""*.sqlite"" -printf '%Tc %p\n'" "display all symbolic links in the folder ""myfiles""",find /myfiles -type l "display all symbolic links in the folder ""myfiles"" and follow them",find -L /myfiles Display all symlinks and their targets in the current directory,"find -P . -maxdepth 1 -type l -exec echo -n ""{} -> "" \; -exec readlink {} \;" Display all symlinks and their targets in the current directory tree,"find -P . -type l -exec echo -n ""{} -> "" \; -exec readlink {} \;" display all the tex files in the current folder,"find . -name ""*.tex""" display all the text and pdf files in the current folder,"find . -regex "".*\(\.txt\|\.pdf\)$""" display all the text files and pdf files in the current folder,"find . \( -name ""*.txt"" -o -name ""*.pdf"" \)" display all the text files from the current folder and skip searching in skipdir1 and skipdir2 folders,"find . \( -name skipdir1 -prune , -name skipdir2 -prune -o -name ""*.txt"" \) -print" display all text files in current folder,"find . -name ""*.txt""" display all text files in the current folder,"find . -type f -name ""*.txt""" display all the text files in the current folder,"find . -name ""*.txt"" -print" display all the text files in the current folder,find -name “*.txt” display all the text files in the current folder and do not search in the bin directory,"find . -name bin -prune -o -name ""*.txt"" -print" display all the text files in the current folder except readme files,"find . -type f -name ""*.txt"" ! -name README.txt -print" display all the text files in the current folder which have been modified in the last half minute ( 30 seconds ),find . -mmin 0.5 display all text files in the folder /home/you which have been modified in the last 60*24 hours(case insensitive search),"find /home/you -iname ""*.txt"" -mtime -60 -print" display all text files in the folder /tmp/1 excluding those which do not have spaces in their names,find /tmp/1 -iname '*.txt' -not -iname '[0-9A-Za-z]*.txt' display all text files in the folder /user/directory which have been modified in today,"find /user/directory/* -name ""*txt"" -mtime 0 -type f" display all the text files in the home folder,"find /home -name ""*.txt""" display all the text files in the home folder ( case insensitive search ),"find /home -iname ""*.txt""" display all the text files in the temp folder,find /tmp -name *.txt "display all text, mpg, jpg files in the folder /Users/david/Desktop",find /Users/david/Desktop -type f \( -name '*.txt' -o -name '*.mpg' -o -name '*.jpg' \) "display all the trace files ("".trc"") from the folder $DBA/$ORACLE_SID/bdump/ which have not been accessed in the last 7*24 hours",find $DBA/$ORACLE_SID/bdump/*.trc -mtime +7 "display all the users in the current folder that belong to the group ""sunk""",find . -type f -group sunk display all the users in the current folder which do not belong to the user root,find . ! -user root Display an amount of processes running with a certain name,ab=`ps -ef | grep -v grep | grep -wc processname` "display the base name(name without extension) of all the "".flac"" files in the current folder","find . -name ""*.flac"" -exec basename \{\} .flac \;" display the change owner command for all the regular files in the current folder.,find . -type f -exec echo chown username {} \; display the commands to force delete all jpg files in current directory which are less than 50KB and do not search in the sub directories,"find . -maxdepth 1 -name ""*.jpg"" -size -50k | xargs echo rm -f" "Display the contents of ""file"" formatted into a table, removing duplicate lines where the first 12 characters are duplicates, and display the number of occurrences at the beginning of each line.",column -t file | uniq -w12 -c "Display the contents of ""myfile"" located in the current directory.",cat myfile "Display the contents of ""text""",cat text display the contents of all the text files in the current directory,find . -name '*.txt' -exec cat {} \; "Display the content of file ""f"" in home directory if it exists and is executable",cat `which ~/f` display the count of all the directories in the current folder,find . -type d –print | wc -l display the count of all the directories present in a folder,find /mount/point -type d | wc -l display the count of all the files in the current folder,find . -print | wc -l display the count of number of files in the current folder,find | wc -l Displays the count of of each unique line read from standard input,sort | uniq -c Display the count of regular files for which the owner has read and execute permission under 'home/magie/d2' directory tree,find home/magie/d2 -type f -perm -u+rx | wc -l display the count of regular/normal files in the current folder do not search in sub directories,find . -maxdepth 1 -type f |wc -l Display the count of regular files under 'home/magie/d2' directory tree which have execute permission to all the users,find home/magie/d2 -type f -perm +111 | wc -l display the count of total number of empty files in the current folder,find . -type f -empty | wc -l display the count of total number of non empty files in the current folder,find . -type f -not -empty | wc -l display the count of total number of text files in the folder /home/you which have been modified in the last 60*24 hours,"find /home/you -iname ""*.txt"" -mtime -60 | wc -l" "Display the current directory tree except files or directories starting with ""3rd""",tree -I '3rd*' (Linux specific) Display current running kernel's compile-time config file.,cat /boot/config-`uname -r` "Display current system's kernel name, kernel release and version, and machine architecture",uname -srvm "Display differences between /destination/dir/1 and /destination/dir/2 excluding files that match any pattern in file ""exclude.pats"".",diff /destination/dir/1 /destination/dir/2 -r -X exclude.pats "Display differences between /destination/dir/1 and /destination/dir/2 excluding files with names ending with extensions '.foo', '.bar' and '.baz'",diff -x '*.foo' -x '*.bar' -x '*.baz' /destination/dir/1 /destination/dir/2 Display differences between /destination/dir/1 and /destination/dir/2 excluding XML files.,diff /destination/dir/1 /destination/dir/2 -r -x *.xml Display differences between /tmp/test1 and /tmp/test2.,diff /tmp/test1 /tmp/test2 Display differences between /tmp/test1 and /tmp/test2 side-by-side.,diff -y /tmp/test1 /tmp/test2 Display differences between a and b side-by-side,diff -y a b "Display differences in ""/tmp/ksh-9725.log"" when compared to ""/tmp/ksh-9781.log""","diff /tmp/ksh-{9725,9781}.log | grep ^\<" display the directory name along with the modified time for all the files /var,"find /var -maxdepth 2 -type d -printf ""%p %TY-%Tm-%Td %TH:%TM:%TS %Tz\n""" display the files along with the size of all the files in the current which are bigger than 100MB,find . -size +100M -exec ls -s {} \; Display the files/directories under current directory tree matching the regex '/$prefix$ypatt' where $prefix and $ypatt expands in the current shell,"find . -print | grep ""/${prefix}${ypatt}""" "display files ending with "".ext"" in current folder which are present in the file ""foo""",find . -type f -name \*.ext | xargs grep foo "display files in current folder ending with ""pdf"" or ""PDF""",find . -name '*.pdf' -or -name '*.PDF' display the file name and creation month of top 11 files in the entire file system,"find / -type f -printf ""\n%Ab %p"" | head -n 11" display the file name and the file type of all the files in the current directory,"find . -printf ""%y %p\n""" Display the file size of file '/data/sflow_log' in bytes,du -sb /data/sflow_log | cut -f1 Display file type description of 'file-name' based on contents.,file file-name "Display the file type description of /bin/bash, ie. symbolic link, ELF executable, etc.",$ file /bin/bash "Display file type information for all instances of ""file"" in the current PATH.",which file | xargs file display the filenames which do not have begin with dot (.),find . -maxdepth 1 -name '[!.]*' -printf 'Name: %16f Size: %6s\n' "Display the first 10 lines of the byte hex dump with no file offset data for ""/bin/ls""",od -t x1 -An /bin/ls | head "Display the first 32 bytes in ""foo"" as printable characters with 16 characters per line",od -c foo |head -2 "Display hardware platform, ie. x86_64 even if current kernel uses 32-bit addressing.",uname -i display the help of find command,find --help Display the host's ECDSA fingerprint using the sha256 hasing algorithm.,ssh-keygen -l -f /etc/ssh/ssh_host_ecdsa_key.pub Display hostname.,uname -n "display the html, javascript and text files in the current folder (print0 is used to preserve the filenames of all the files which have newlines in their names)","find . -type f \( -name ""*.htm*"" -o -name ""*.js*"" -o -name ""*.txt"" \) -print0 | xargs -0 -n1 echo" Display human-readable file type description of ascii.txt,file ascii.txt display in a list of all the files that are bigger than 10KB in current folder,find . -size +10k -ls (GNU specific) Display info on most CPU-intensive processes once and exit.,top -n 1 Display kernel release name.,uname -r Display the last 3 characters of variable foo.,echo $foo | rev | cut -c1-3 | rev Display the last colon-separated field of variable 'var',"echo ""$var"" | rev | cut -d: -f1 | rev" "display list of all the C files ( fuiles with "".c"" extension ) in current folder",find . -name '*.c' -ls display list of all the files in the /tmp folder,"find /tmp/ -exec ls ""{}"" +" display list of all the files in the current directory,find | xargs ls display list of all the files in the current directory (print0 handles file names with newlines or spaces),find -print0 | xargs -0 ls display the list of all the files in the current directory which have been accssed in the last 500 days exluding hidden files,"find . -type f \( ! -iname "".*"" \) -mtime +500 -exec ls {} \;" display list of all the files in the current folder which are empty.,find . -size 0 -ls "display list of all the hidden directories in the directory ""/dir/to/search/""",find /dir/to/search -path '*/.*' -ls "display list of all the hidden files in the directory ""/dir/to/search/""","find /dir/to/search/ -name "".*"" -ls" display list of all the hidden files in the home folder,"find $HOME -name "".*"" -ls" "display list of all the hidden regular/normal files in the directory ""/dir/to/search/""","find /dir/to/search/ -type f -iname "".*"" -ls" display the list of all the normal files excluding hidden files which have been accessed in the last 500 days,find . -type f -not -name ‘.*’ -mtime +500 -exec ls {} \; "display list of all the regular/normal files in the current folder which start with ""my""",find . -name 'my*' -type f -ls display list of all the regular/normal files in the home folder which are bigger than 512 kb,find /home/ -type f -size +512k -exec ls -lh {} \; display list of all the regular/normal files in the home folder which are exactly 6579 bytes,find /home/ -type f -size 6579c -exec ls {} \; "Display list of files ending with '.txt' in the current folder to the terminal twice and output it to the text file ""txtlist.txt""",ls *.txt | tee /dev/tty txtlist.txt display the long listing detials of all the files in the folder junk which is in home folder.,"find ~/junk -name ""*"" -exec ls -l {} \;" Display long listing of all the files/directories owned by the user 'me' under '/tmp' directory tree,find /tmp -user me -ls "display long listing of all the files in the folder ""/myfiles""",find /myfiles -exec ls -l {} ; "display long list of all the files in the folder /home/peter which belong to no user and change the owner,group of all these files (after user confirmation) to ""peter"",""peter""",find /home/peter -nouser -exec ls -l {} \; -ok chown peter.peter {} \; display long listing of all the files in the root folder which are bigger than 3KB,find / -dev -size +3000 -exec ls -l {} ; "display long listing of all the files that have been changed in the last 4 days, daystart is used to compare from the starting of day i.e, at 00:00",find . -daystart -ctime 4 -ls -type f display long list of all the perl files in the current folder,"find . -name ""*.pl"" -ls" display long listing of all normal/regular files in the current directory which have been modified in the last 2 days.,"find . -mtime -2 -type f -name ""t*"" -exec ls -l '{}' \;" display long listing of all the regular hidden files in the folder Musica,"find Música/* -type f -name "".*"" -exec ls -l {} \;" display long listing of all the symbolic links in the current folder,find . -type l -exec ls -l {} \; display long listing of all the text files in the current folder,"find . -name ""*.txt"" -exec ls -la {} \;" display long listing of all the text files in the current folder (plus at the end executes quickly by sending bulk data as input to the command in exec),"find . -name ""*.txt"" -exec ls -la {} +" display long listing of first 10 directories in the current folder,find . -type d -ls | head display the manual page of find,man find "Display mime type of file specified by variable ""file""","file -ib ""$file""" Display name and value of 'variable' if it exists.,env | grep '^variable=' "Display the named characters in ""line1\r\nline2""","echo -e ""line1\r\nline2"" | od -a" display the name of all directories in the current folder and do not search in sub directories,find . -type d -maxdepth 1 -exec basename {} \; display the name of all directories in the current folder and do not search in sub directories ( mindepth ensures that the current folder name is removed from the output),find . -type d -maxdepth 1 -mindepth 1 -exec basename {} \; display the names without extensions of all the data files in current folder which have not been changed in the last 60 mins,"find . -prune -name ""*.dat"" -type f -cmin +60 |xargs -i basename {} \;" display the number of lines in all the files in the current folder,find . -exec wc -l {} \; display the number of lines in all the header files in the current folder,"find . -name ""*.h"" -print | xargs wc -l" Display the number of lines in all regular files under current directory tree and also show the total count,find . -type f -exec wc -l {} + Display the number of regular files under current directory tree,find . -type f -exec echo {} \; | wc -l "display only the file names of all the files which end with "".deb""","find . -name '*.deb' -printf ""%f\n""" "Display only first and second dot-separated numbers of kernel version, ie. 4.4",uname -r | cut -d. -f1-2 "(GNU specific) Display process information for all processes whose command line contains ""processname"".",top -b -n1 | grep processname "(GNU specific) Display process information (batch mode, display once) with full command lines.",top -b -n1 -c "Displays process tree of a process with id 'PID', showing parent process and processes id.",pstree -p -s PID Display the sizes and filepaths of all files/directories with '.undo' extension under current directory tree,find -name *.undo -print0 | du -hc --files0-from=- Display standard input as octal bytes,cat | od -b Display standard input as printable characters or backslash escapes with no addressing radix,od -cAn; Displays status of currently active network interfaces.,ifconfig Display summary of each specified file in human readable form,du -sh * "display table of files with their name, owner, and size in bytes.",find . -printf 'Name: %f Owner: %u %s bytes\n' display top 11 files along with the last access date for all the files in the file system,"find / -type f -printf ""\n%AD %AT %p"" | head -n 11" display the top 20 biggest files in the current folder which are present in the same partition as that of the current folder,find . -xdev -printf ‘%s %p\n’ |sort -nr|head -20 Display top 500 mp4 and flv files under current directory along with their timestamps in the sorted order of time,"find . -regex "".*\.\(flv\|mp4\)"" -type f -printf '%T+ %p\n' | sort | head -n 500" Display the total count of all the files/directories with '.old' extension under current directory tree,find . -name “*.old” -print | wc -l display the type of all the regular/normal files in the entire file system,find / -type f -print | xargs file Display who is logged on and what they are doing,w "Download ""Louis Theroux's LA Stories"" using rsync over ssh","rsync -ave ssh '""Louis Theroux""''""'""'""'""''""s LA Stories""'" "download a file ""http://download.oracle.com/otn-pub/java/jce/8/jce_policy-8.zip"" using cookies ""oraclelicense=accept-securebackup-cookie""","curl -L -C - -b ""oraclelicense=accept-securebackup-cookie"" -O http://download.oracle.com/otn-pub/java/jce/8/jce_policy-8.zip" "download contents from ""https://raw.github.com/creationix/nvm/master/install.sh"" and execute",curl https://raw.github.com/creationix/nvm/master/install.sh | sh "download contents from ""https://www.npmjs.com/install.sh"" and execute",curl https://www.npmjs.com/install.sh | sh "download contents of a website ""https://get.scoop.sh""",curl -L https://get.scoop.sh "Dump ""/dev/ttySomething"" in both hex and text",od -t x1 -t a /dev/ttySomething "Dump ""filename"" as 2 byte wide hexadecimal and printable characters",od -xc filename "Dump ""testscript.sh"" as 2 byte hexadecimale, printable characters, and octal values",od -xcb testscript.sh "Dump the character output of ""echo 'hi'""",echo 'hi' | od -c "Dump the character output of 'echo `echo ""Ho ho ho""`'","echo `echo ""Ho ho ho""` | od -c" Duplicate directory tree under /mnt/usr/lib to /usr but creating symlinks to files instead of copying them.,cp -rs /mnt/usr/lib /usr/ "Edit the cron job list for user 'wwwrun' using default editor specified by the EDITOR environment variable, or /usr/bin/editor if no default editor is specified.",sudo crontab -u wwwrun -e "Edit current user's cron job list with editor specified by EDITOR environment variable, or default /usr/bin/editor if EDITOR is not set.",crontab -e "Eliminates partially duplicate lines by column, keeping the last occurrence","tac temp.txt | sort -k2,2 -r -u" Enables 'dotglob' shell option.,shopt -s dotglob Enables 'globstar' (recursive globbing) shell option.,shopt -s globstar Enables 'nullglob' shell option.,shopt -s nullglob Enables shell option 'autocd'.,shopt -s autocd Enables shell option 'cdable_vars'.,shopt -s cdable_vars Enables shell option 'cmdhist'.,shopt -s cmdhist Enables shell option 'compat31'.,shopt -s compat31 Enables shell option 'expand_aliases'.,shopt -s expand_aliases "Enables shell options 'expand_aliases', 'extglob', 'xpg_echo'.",shopt -s expand_aliases extglob xpg_echo Enables shell options 'extglob' and 'progcomp',shopt -s extglob progcomp Enables shell option 'failglob'.,shopt -s failglob Enables shell option 'lastpipe'.,shopt -s lastpipe Enables shell option 'nullglob'.,shopt -s execfail Enables shell options 'nullglob' and 'dotglob'.,shopt -s nullglob dotglob Enables shell option 'promptvars'.,shopt -s promptvars "Ensure all 5 of UEDP0{1..5}_20120821.csv files exist, creating empty files for any missing ones (updates the file's timestamps)",touch -a UEDP0{1..5}_20120821.csv "Erase user's cron jobs and add one cron job to run ""script"" every minute.","echo ""* * * * * script"" | crontab -" ERROR - this is for DOS,"ping -n 1 %ip% | find ""TTL""" ERROR - Probably means -pgoDt (capital D),sudo rsync -pgodt /home/ /newhome/ ERROR - will overwrite the executable if it's not a symlink.,sudo ln -sf /usr/local/ssl/bin/openssl `which openssl` "Evaluate the output of recursively changing the owner and group of ""/data/*"" to ""mongodb""",`sudo chown -R mongodb:mongodb /data/*` Exclude directory from find . command,"find ! -path ""dir1"" -iname ""*.mp3""" "exclude vendor and app/cache dir, and search name which suffixed with php","find . -name *.php -or -path ""./vendor"" -prune -or -path ""./app/cache"" -prune" "Execute ""${MD5}"" on all files found under ""${1}"", numerically sort the results, and save to variable ""DATA""","DATA=$( find ""${1}"" -type f -exec ${MD5} {} ';' | sort -n )" "Execute ""date"" every second",watch -n 1 date "Execute ""du -s path"" every 300 seconds",watch -n 300 du -s path "Execute ""ls -l data.temp"" every 2 seconds",watch ls -l data.temp "Execute ""ls -l"" every 0.5 seconds",watch -n 0.5 ls -l "Execute ""ls -l"" every 2 seconds",watch 'ls -l' "Execute ""ls -l"" every 2 seconds and highlight the differences in runs",watch -d ls -l "Execute ""ls -l"" on host ""something"" as user ""root""",ssh root@something 'ls -l' "Execute ""ls"" every 2 seconds",watch ls "Execute ""ls"" every second",watch -n 1 ls "Execute ""ps -C java -o pcpu,state,cputime,etimes"" every second","watch -n 1 ps -C java -o pcpu,state,cputime,etimes" "Execute ""wget -qO- http://fake.link/file.txt"" every 5 seconds",watch -n 5 wget -qO- http://fake.link/file.txt "Execute 'echo -e ""\033[31mHello World\033[0m""' every without color support 2 seconds","watch 'echo -e ""\033[31mHello World\033[0m""'" "Execute 'echo -e ""\tHello World""' every 2 seconds","watch 'echo -e ""\tHello World""'" Execute `echo' for each file found,find . | xargs -n 1 echo "Execute `find -type f | egrep -i ""(jpg|bmp|png|gif)$""` every 300 seconds and display without title","watch -n 300 -t `find -type f | egrep -i ""(jpg|bmp|png|gif)$""`" "execute command ""who"" when key ""\eW"" is pressed","bind -x '""\eW"":""who""'" Execute the file utility for each file found under /etc and below,find /etc -print0 | xargs -0 file "Execute the file utility for each file found under /etc or below that contains ""test"" in its pathname",find /etc -print0 | grep -azZ test | xargs -0 file "Execute the first instance of ""parallel"" found in the PATH, passing it all parameters received by the script/function","`which parallel` ""$@""" "Execute python script ""test.py"" with ""LD_PRELOAD=./linebufferedstdout.so"" and write the output to console and append to ""test.out""",LD_PRELOAD=./linebufferedstdout.so python test.py | tee -a test.out "Execute zcat on every file matching ""*20120805.gz"" in the current directory and subdirectories",find . -name *20120805.gz -exec zcat {} \; explicitly list all files in the current directory,find . -print "extract ""filename.tar.xz"" with verbose output",$ tar xvfJ filename.tar.xz "extract ""passwd.tar.gz"" with verbose output",tar -xvzf passwd.tar.gz "extract ""phantomjs-1.9.0-linux-x86_64.tar.bz2""",sudo tar xvf phantomjs-1.9.0-linux-x86_64.tar.bz2 extract /path/to/my_archive.tar.xz to /path/to/extract and preserve permissions.,tar xpvf /path/to/my_archive.tar.xz -C /path/to/extract Extract five digits sequence from a filename with x number of alphanumeric characters followed by the five digit sequence surrounded by a single underscore on either side then another set of x number of alphanumeric characters.,echo 'someletters_12345_moreleters.ext' | cut -d'_' -f 2 Extracts a bz2 file.,bunzip2 file.bz2 "Extract and print hostname and protocol part from URL like ""http://www.suepearson.co.uk/product/174/71/3816/"".","echo ""http://www.suepearson.co.uk/product/174/71/3816/"" | cut -d'/' -f1-3" "Extract any line in ""f1"" or ""f2"" which does not appear in the other",comm -3 <(sort -un f1) <(sort -un f2) "Extract any line in ""file1"" or ""file2"" which does not appear in the other",comm -3 <(sort file1) <(sort file2) "Extract any line in ""file1.txt"" which does not appear as the first "";"" delimited entry in ""file2.txt""",comm -23 <(sort file1.txt) <(grep -o '^[^;]*' file2.txt | sort) "Extract any line in ""set1"" which does not appear in ""set2""",comm -23 <(sort set1) <(sort set2) extract archive stored in $1,tar -zxvf $1 "Extract the contents of ""Input.txt.gz"", list the unique first comma separated field prefixed by the number of occurrences","zcat Input.txt.gz | cut -d , -f 1 | sort | uniq -c" Extract files from archive 'archive.tar',cat archive.tar | tar x Extract path and query part from URL,"echo ""$url"" | cut -d'/' -f4-" Extract protocol part from URL.,"echo ""$url"" | cut -d':' -f1" Extracts single file 'filename' from bzip2-compressed tarball archive.tbz.,bzip2 -dc archive.tbz | tar xvf - filename Fetch 'stackoverflow.com' domain IP addresses from dig DNS lookup,"dig stackoverflow.com | grep -e ""^[^;]"" | tr -s "" \t"" "" "" | cut -d"" "" -f5" "File ""files.txt"" contains a list of files, copy all files listed to host ""remote"", connecting as ssh user ""user"", and copying the files to this user's home directory - this will not work with files/directory names containing spaces.",cat files.txt | xargs scp user@remote: "The file ""files_to_find.txt"" contains a list of filenames, create each file or update its timestamp if it exists.",touch `cat files_to_find.txt` "File 'save_pid.txt' contains a process ID, instantly kill this process with SIGKILL signal.",kill -9 `cat save_pid.txt` Filters only directories from long file listing of the current directory,"ls -l --color=always ""$@"" | egrep --color=never '^d|^[[:digit:]]+ d'" Filters only directories from long file listing of the current directory.,"ls -l | grep ""^d""" "Filters out strings, using the extended regexp pattern '^#|^$|no crontab for|cannot use this program' from ""$USERTAB""","echo ""$USERTAB""| grep -vE '^#|^$|no crontab for|cannot use this program'" Filters unique lines by matching against the first column of a .csv file,"tac a.csv | sort -u -t, -r -k1,1 |tac" "Find ""*prefs copy"" files in the /mnt/zip directory tree and remove them with prompting","find /mnt/zip -name ""*prefs copy"" -print0 | xargs -p rm" "Find "".c"" and "".h"" files in the current directory tree and print lines containing ""#include""","tree -if | grep \\.[ch]\\b | xargs -n 1 grep -H ""#include""" "Find "".c"" and "".h"" files in the current directory tree and print line numbers and lines containing ""#include""","tree -if | grep \\.[ch]\\b | xargs -n 1 grep -nH ""#include""" "find "".flac"" files in current folder using regular expressions","find ./ -regex ""./cmn-.\.flac""" "find the ""MyCProgram.c"" file (case insensitive find) under the current directory","find -iname ""MyCProgram.c""" "Find the ""param1"" string in regular files under and below /var","find /var -type f -exec grep ""param1"" {} \; -print" Find & replace broken symbolic links,find -L . -type l -delete -exec ln -s new_target {} \; Find '*prefs copy' files under /mnt/zip and delete them with confirmation prompt ensuring white space safety,"find /mnt/zip -name ""*prefs copy"" -print0 | xargs -0 -p /bin/rm" Find '.git' directories in directory tree /home/madhu/release/workspace,find /home/madhu/release/workspace -type d -name '.git' Find '.git' directories in directory tree /path/to/files and print the pathnames of their parents,find /path/to/files -type d -name '.git' -exec dirname {} + Find '.java' files with checksum 0bee89b07a248e27c83fc3d5951213c1 in the current directory,md5sum *.java | grep 0bee89b07a248e27c83fc3d5951213c1 Find `string' in all *.java files ignoring the case of that string,"find . -type f -name ""*.java"" -exec grep -il string {} \;" Find *.avi and *.flv files in /path/to/your/directory and below and copy them to /path/to/specific/folder,find /path/to/your/directory -regex '.*\.\(avi\|flv\)' -exec cp {} /path/to/specific/folder \; Find *.c and *.sh files,"find . -type f \( -name ""*.c"" -o -name ""*.sh"" \)" Find *.conf files/directories only upto 1 level down under /etc directory and show a few lines of output from the end,"find /etc -maxdepth 1 -name ""*.conf"" | tail" Find *.conf files/directories only upto 2 levels down under /etc directory and show a few lines of output from the end,"find /etc -maxdepth 2 -name ""*.conf"" | tail" find *.gif files under the currently directory and sub-directories and list them using the ls command,find . -name *.gif -exec ls {} \; Find *.html files in the /usr/src/linux directory tree,"find /usr/src/linux -name ""*.html""" Find *.o files with permissions 664 in the current directory tree,find . -name *.o -perm 664 -print Find *.pl files/directories under /users/tom,"find /users/tom -name ""*.pl""" Find *.scm files recursively in the current directory,find . -name '*.scm' "Find *.tex files in the current directory tree that contain text ""documentclass""",find . -type f -name *.tex -print0 | xargs -0 grep -l 'documentclass' "Find *.txt files in the current directory tree, ignoring paths ./Movies/*, ./Downloads/*, and ./Music/*","find . -type f -name ""*.txt"" ! -path ""./Movies/*"" ! -path ""./Downloads/*"" ! -path ""./Music/*""" Find *2011* files and grep for the string 'From: Ralph' in those files,find . -name '*2011*' -print | xargs -n2 grep 'From: Ralph' Find *log files/directories within a maximum of 3 levels of directories,"find / -maxdepth 3 -name ""*log""" find -name '*.js' -not -path './node_modules/*' -not -path './vendor/*',find -name '*.js' -not \( -path './node_modules/*' -o -path './vendor/*' \) find .bmp or .txt files,find /home/user/Desktop -name '*.bmp' -o -name '*.txt' find .gif files in /var/www and below that were last changed between 90 and 180 days ago,find /var/www -name *.gif -ctime +90 -ctime -180 "Find the .groovy files outside the ""./target"" directory path","find . -name ""*.groovy"" -not -path ""./target/*"" -print" Find .jpg files owned by user daniel in the current directory and its sub-directories.,find . -user daniel -type f -name *.jpg "Find .jpg files owned by user daniel in the current directory and its sub-directories but ignore any file beginning with ""autumn"".",find . -user daniel -type f -name *.jpg ! -name autumn* Find .rmv files in the ./root directory recursively and copy them to directory /copy/to/here,"find root -name '*.rmv' -type f -exec cp --parents ""{}"" /copy/to/here \;" Find .rmv files in the current directory recursively,find . -name *.rmv Find .txt files on the system whose size is greater than 12000 bytes,"find / -name ""*.txt"" -size +12000c" find the ten biggest files,"find /home -type f -exec du -s {} \; | sort -r -k1,1n | head" Find 10 largest files in the current directory and its subdirectories,du -hsx * | sort -rh | head -10 Find files/directories under current directory,"find -name """"" find a 'fool.scala' named regular file under /opt /usr /var those directories.,find /opt /usr /var -name foo.scala -type f Find a 400 permission file under /data directory,find /data -type f -perm 400 -print -quit "find a difference between website content of ""http://tldp.org/LDP/abs/html/"" and ""http://www.redhat.com/mirrors/LDP/LDP/abs/html/""",diff <(curl -s http://tldp.org/LDP/abs/html/) <(curl -s http://www.redhat.com/mirrors/LDP/LDP/abs/html/) Find a directory named 'project.images' in the entire filesystem and show it in long listing format,"find / -type d -name ""project.images"" -ls" Find a hostname that resolves to IP '173.194.33.71',dig +short -x 173.194.33.71 Find a more recent version of httpd.conf file than /etc/apache-perl/httpd.conf in entire file system,find / -name httpd.conf -newer /etc/apache-perl/httpd.conf Find a single file called tecmint.txt and remove it,"find . -type f -name ""tecmint.txt"" -exec rm -f {} \;" find a specfic video file in the current directory,"find ./ -name ""foo.mp4"" -exec echo {} \;" Find a used disk space of a target directory and files inside of it,du -h your_directory "Find absolute path of command with PID ""$pid""",readlink -f `ls --dereference /proc/$pid/exe` find al the files that are modified exactly 2 days ago,find -daystart -mtime 2 find al the tmp directories in the current directory and create a dump of it,find . -type d -name tmp -prune -o -print | cpio -dump /backup "find all the "".JPG"" files in current folder and display their count",find ./ -name '*.JPG' -type f | wc -l "find all the "".c"" files in the folder ""/home/you"" which have been accessed in the last 30*24 hours","find /home/you -iname ""*.c"" -atime -30 -type -f" "find all the "".c"" files in the folder /home/david which have been modified in the last 48 hours",find /home/david -mtime -2 -name '*.c' "find all "".flac"" files in current folder starting with ""cmn-""",find . -name 'cmn-*.flac' "find all "".flac"" files starting with ""cmn-"" and search for files having CJK characters using unicodes",find . -name 'cmn-*.flac' -print | grep -P '[\x4e00-\x9fa5]' "find all the "".sh"" files in the current folder ( case insensitive search)",find -iname *.SH "find all the "".wma"" files in the folder ""$current_directory""","find ""${current_directory}"" -type f -iname ""*.wma""" "Find all ""G*.html"" files modified more than 7 days ago in the current directory tree","find . -mtime +7 -name ""G*.html""" "Find all ""YourProgramName"" regular files in the current directory tree and print the full paths to the directories containing them",find . -type f -name YourProgramName -execdir pwd \; "find all the ""error_log"" files in the folder ""/home"" which are bigger than 5MB and force delete them","find /home -size +5000000b -name ""error_log"" -exec rm -rf {} \;" "find all the ""jpg"" file in a folder.",find /win/C -iname *JPG "find all the ""passwd"" files in the entire file system",find / -iname passwd Find all $2 files in $1 path and search for the regex expanded by $3 in those files,"find $1 -name ""$2"" -exec grep -Hn ""$3"" {} \;" Find all $2 files in $1 path and search for the regex expanded by $3 in those files excluding the files with /proc in their paths,"find $1 -name ""$2"" | grep -v '/proc' | xargs grep -Hn ""$3"" {} \;" find all '*.c' files under $HOME directory which context contains sprintf,find $HOME -name '*.c' -print | xargs grep -l sprintf Find all '*~' files under current directory,find ./ -name '*~' Find all 'custlist*' files under current directory,find . -name custlist\* "Find all `doc.txt' files in the current directory tree printing ""found"" for each of them","find ./ -name doc.txt -printf ""found\n""" find all 'js' suffix files exclue the path *exclude/this/dir*' under current dirctory,find . -name '*.js' -not -path '*exclude/this/dir*' Find all 'test' directories in the current directory tree,find -type d -a -name test Find all 'test' directories in the current directory tree and remove them,find -type d -a -name test|xargs rm -r Find all * * regular files under current directory,"find . -type f -name ""* *""" Find all *$VERSION* files/directories under current directory where $VERSION is a variable,"find . -name ""*$VERSION*""" Find all *-* files under current directory,find . -type f -name '*-*' Find all *.$input_file_type files/directories under $source_dir with the null character as the delimiter,"find ""$source_dir"" -name ""*.$input_file_type"" -print0" Find all *.* directories under /home/feeds/data directory,find /home/feeds/data -type d \( -name 'def/incoming' -o -name '456/incoming' -o -name arkona \) -prune -o -name '*.*' -print "Find all *.* files not within .git directory and run $SED_CMD -i ""s/$1/$2/g"" on each of them","find . -type f -name ""*.*"" -not -path ""*/.git/*"" -print0 | xargs -0 $SED_CMD -i ""s/$1/$2/g""" Find all *.* files under current directory,find . -type f -a -name '*.*' Find all *.[ch] files under current directory,find . -name '*.[ch]' Find all *.axvw files/directories under current directory,find . -name '*.axvw' Find all the *.c files at any level of directory Programming under any 'src' directory,find ~/Programming -path '*/src/*.c' Find all *.c files in /usr/src bigger than 100k,find /usr/src -name '*.c' -size +100k -print Find all *.c files located under /home and below,"find /home -name ""*.c""" Find all *.c files on the system and feed the output to wc,find / -name *.c | wc "Find all *.c files under and below the current directory that contain ""hogehoge""",find . -name \*.c | xargs grep hogehoge "Find all *.c files under and below the current directory that contain ""wait_event_interruptible""",find . -name \*.c -exec grep wait_event_interruptible {} + Find all *.cgi files/directories under current directory and change their permission to 775,find . -name '*.cgi' -print0 | xargs -0 chmod 775 find all the *.conf files under / (root),"find / -name ""*.conf""" "Find all *.cpp files in the current directory tree that contain ""sub"" in their names","find . -name ""*sub*.cpp""" Find all *.css files under /starting/directory and print filenames and the lines matching the regex '\.ExampleClass' from those files,find /starting/directory -type f -name '*.css' | xargs -ti grep '\.ExampleClass' {} Find all *.csv files under /foot/bar/ and move them to some_dir,find /foot/bar/ -name '*.csv' -print0 | xargs -0 mv -t some_dir find all *.csv files which modify within last 2 days in /home directory then zip ( archive )-,"find /home/archive -type f -name ""*.csv"" -mtime -2 -exec gzip -9f {} \;" Find all *.data files under jcho directory,find jcho -name *.data Find all *.dbf files/directories in entire file system,"find / -name ""*.dbf""" Find all *.dbf files/directories in entire file system and print their sorted and unique parent directory paths,find / -name \*.dbf -print0 | xargs -0 -n1 dirname | sort | uniq Find all *.ext files/directories under current directory and print their path and parent directory path,"find /path -type f -name ""*.ext"" -printf ""%p:%h\n""" Find all *.foo files under current directory and print their contents,cat $(find . -name '*.foo') Find all *.foo files under current directory and search for 'bar' in those files,find . -name '*.foo' -exec grep bar {} \; Find all *.gz files/directories under asia and emea directory,find asia emea -name \*.gz Find all *.htm files under current directory,"find -type f -name ""*.htm""" Find all *.html files under current directory,find . -type f -name '*.html' Find all *.ini files,find . -name *.ini Find all *.java files under current directory,"find . -name ""*.java""" Find all *.java files under current directory containing the string 'String',"find . -name ""*.java"" -exec grep ""String"" {} \+" Find all *.jpg files in */201111 paths,"find */201111 -name ""*.jpg""" Find all *.jpg files in */201111/* paths and numerically sort them according to the second field in the file name with a delimiter '_',"find */201111/* -name ""*.jpg"" | sort -t '_' -nk2" Find all *.jpg (case insensitive) files under current directory,find . -iname '*.jpg' Find all *.jpg files under current directory,find . -name *.jpg Find all *.jpg files under current directory and print only duplicate names,find . -name \*.jpg -exec basename {} \; | uniq -d Find all *.jpg files under current directory and print only unique names,find . -name *.jpg | uniq -u "Find all *.log files under current directory that contain the string ""Exception""",find . -name '*.log' -mtime -2 -exec grep -Hc Exception {} \; | grep -v :0$ Find all *.log files under path/,"find path/ -name ""*.log""" "Find all *.log files under path/ that do not contain ""string that should not occur""","find path/ -name '*.log' -print0 | xargs -r0 grep -L ""string that should not occur""" Find all *.m4a files/directories under /home/family/Music directory,find /home/family/Music -name '*.m4a' -print0 Find all *.m4a files under /home/family/Music directory,find /home/family/Music -type f -name '*.m4a' -print0 "Find all *.mp3, *.aif*, *.m4p, *.wav, *.flac files under $musicdir directory","find ""$musicdir"" -type f -print | egrep -i '\.(mp3|aif*|m4p|wav|flac)$'" Find all *.mp3 files in entire file system greater than 10MB and delete them,find / -type f -name *.mp3 -size +10M -exec rm {} \; Find all *.mp3 files under current directory,find . -name *.mp3 Find all *.mp4 files under /working,find /working -type f -name '*.mp4' Find all *.mp4 files under directory named 'working' and show the first one found,"find working -type f -name ""*.mp4"" | head -1" Find all *.ogg and *.mp3 (case insensitive) files/directories under your home directory,find $HOME -iname '*.ogg' -o -iname '*.mp3' Find all *.ogg (case insensitive) files/directories in entire file system,sudo find / -iname '*.ogg' Find all *.ogg (case insensitive) files/directories under your home directory,find $HOME -iname '*.ogg' Find all *.ogg (case insensitive) files/directories under your home directory that are greater than 100MB in size,find $HOME -iname '*.ogg' -size +100M Find all *.ogg (case insensitive) files/directories under your home directory that are greater than 20MB in size,find $HOME -iname '*.ogg' -size +20M Find all *.ogg (case insensitive) files/directories under your home directory that are not greater than 20MB in size,find $HOME -iname '*.ogg' ! -size +20M Find all *.ogg files on the system ignoring the case,find / -iname '*.ogg' Find all *.old files and move them to directory oldfiles,"find . -name ""*.old"" -exec mv {} oldfiles \;" Find all *.p[lm] files/directories under current directory,find -name '*.p[lm]' Find all *.p[lm] files under /users/tom directory that matches both the regex '->get(' and '#hyphenate' in their contents,find /users/tom -name '*.p[lm]' -exec grep -l -- '->get(' {} + | xargs grep -l '#hyphenate' Find all *.p[lm] files under /users/tom directory that matches the regex '->get(\|#hyphenate' in their contents,find /users/tom -name '*.p[lm]' -exec grep -l -- '->get(\|#hyphenate' {} + "Find all *.page (case insensitive) files/directories under current directory and run ~/t.sh for each of them with the file path as argument, then sort the output",find . -iname *.page -exec ~/t.sh {} \; | sort Find all *.pdf files under ./polkadots,"find ./polkadots -type f -name ""*.pdf""" Find all *.pdf.marker files under ${INPUT_LOCATION} and move them to ${OUTPUT_LOCATION} also move any *.pdf files with the same name under current directory to ${OUTPUT_LOCATION},"find ${INPUT_LOCATION}/ -name ""*.pdf.marker"" | xargs -I file mv file $(basename file .marker) ${OUTPUT_LOCATION}/." Find all *.php (case insensitive) and *.js files (case insensitive) under /home/jul/here excluding /home/jul/here/exclude/* paths,"find /home/jul/here -type f \( -iname ""*.php"" -o -iname ""*.js"" \) ! -path ""/home/jul/here/exclude/*""" Find all *.php (case insensitive) files and *.js files/directories (case insensitive) under /home/jul/here excluding $EXCLUDE/* paths,"find /home/jul/here -type f -iname ""*.php"" ! -path ""$EXCLUDE/*"" -o -iname ""*.js"" ! -path ""$EXCLUDE/*""" Find all *.php (case insensitive) files and *.js files/directories (case insensitive) under /home/jul/here excluding *.js files/directories under /home/jul/here/exclude/* paths,"find /home/jul/here -type f -iname ""*.php"" -o -iname ""*.js"" ! -path ""/home/jul/here/exclude/*""" Find all *.php (case insensitive) files and *.js files/directories (case insensitive) under /home/jul/here excluding /home/jul/here/exclude/* paths,"find /home/jul/here -type f -iname ""*.php"" ! -path ""/home/jul/here/exclude/*"" -o -iname ""*.js"" ! -path ""/home/jul/here/exclude/*""" Find all *.php files under current directory and change their permission to 640,chmod 640 $(find . -name *.php) Find all the *.pl files (Perl files) beneath the current directory.,"find . -name ""*.pl""" Find all *.plist files/directories under current directory,find -name \*.plist Find all *.py files/directories under current directory,find . -name *.py "Find all *.py files under and below the current directory and search them for ""xrange""",find . -name '*.py' -exec grep --color 'xrange' {} + Find all *.py files under current directory,"find . -type f -name ""*.py""" Find all *.py files under current directory and search for regular expressions taken from the search_terms.txt file,find . -name '*.py' -exec grep -n -f search_terms.txt '{}' \; Find all *.rb and *.py files/directories under current directory,"find . -name ""*.rb"" -or -name ""*.py""" Find all *.rb files/directories under current directory,"find . -name ""*.rb""" Find all *.rb (regular) files under current directory,"find . -name ""*.rb"" -type f" Find all *.rb (regular) files under current directory and count their line numbers ensuring white space safety on file name/path.,"find . -name ""*.rb"" -type f -print0 | xargs -0 wc -l" "Find all *.rb (regular) files under current directory and print them on stdout putting the file name/path in-between two string literals 'Hello,' and '!'","find . -name ""*.rb"" -type f | xargs -I {} echo Hello, {} !" Find all *.rb (regular) files under current directory ensuring white space safety and print at most two file names/paths per line,"find . -name ""*.rb"" -type f -print0 | xargs -0 -n 2 echo" Find all *.sh files owned by user vivek,"find / -user vivek -name ""*.sh""" Find all *.swp files/directories under current directory,"find . -name ""*.swp""" Find all *.tar.gz files/directories under /directory/whatever which were modified more than $DAYS ago,find /directory/whatever -name '*.tar.gz' -mtime +$DAYS Find all *.tex files/directories in maximum 2 levels down the current directory,find . -maxdepth 2 -name '*.tex' Find all *.tex regular files in maximum 2 levels down the current directory,"find . -type f -maxdepth 2 -name ""*.tex""" Find all *.tex regular files under current directory,"find . -type f -name ""*.tex""" Find all *.texi files in /usr/local/doc,find /usr/local/doc -name '*.texi' "Find all *.txt, *.html files under /basedir that match the case insensitive pattern *company* in their names",find /basedir/ \( -iname '*company*' -and \( -iname '*.txt' -or -iname '*.html' \) \) -print0 Find all *.txt and *.json files,"find . -type f \( -name ""*.txt"" -o -name ""*.json"" \)" Find all *.txt files/directories under current directory,find -name '*.txt' Find all *.txt files/directories under current directory discarding 'Permission denied' errors,"find . -name ""*.txt"" -print | grep -v 'Permission denied'" Find all *.txt files/directories under current directory terminating their names/paths with null character,find . -name '*.txt' -print0 Find all *.txt files/directories under your home directory,"find ~ -name ""*.txt"" -print" Find all the *.txt files in the current directory older than 48 hours,find . -maxdepth 1 -name '*.txt' -mtime +2 Find all *.txt file (case insensitive) in the entire system and copy them to /tmp/txt,find / -iname '*.txt' | xargs --replace=@ cp @ /tmp/txt Find all *.txt (case insensitive) files of user root under / directory and show a few lines of output from the beginning,"find / -user root -iname ""*.txt"" | head" Find all *.txt files of user Tecmint under /home directory,"find /home -user tecmint -iname ""*.txt""" Find all *.txt files that reside under and below /home/wsuNID/,"find /home/wsuNID/ -name ""*.txt""" Find all *.txt files under /foo and delete them,"find /foo -name ""*.txt"" -delete" Find all *.txt files under /foo and print their total size,"find /foo -name ""*.txt"" -exec du -hc {} + | tail -n1" Find all *.txt files under current directory and print their timestamps and paths,"find . -name ""*.txt"" -printf ""%T+ %p\n""" "Find all *.txt files under current directory, change their permission to 666 and copy them to /dst/ directory",find . -name \*.txt -exec chmod 666 {} \; -exec cp {} /dst/ \; Find all *.txt files under current directory that contains the regex 'pattern' and list them with their filenames and matches,find . -type f -name '*.txt' -exec egrep pattern {} /dev/null \; "Find all *.txt files under current directory with their timestamps and paths, sort them and print the last entry only","find . -name ""*.txt"" -printf ""%T+ %p\n"" | sort | tail -1" Find all *.xml files under current directory,find -name *.xml Find all *.xml.bz2 files under current directory,find . -name \*.xml.bz2 Find all *1234.56789* files/directories under current directory,find . -name '*1234.56789*' Find all *Company* files/directories under /root/of/where/files/are directory,find /root/of/where/files/are -name *Company* Find all *blue* files/directories under /myfiles,find /myfiles -name '*blue*' Find all *company* (case-insensitive) files/directories under /basedir with null character as the delimiter,find /basedir/ -iname '*company*' -print0 Find all *company* files/directories under /root/of/where/files/are directory,find /root/of/where/files/are -name *company* Find all *conf* files recursively under current directory,find . -name *conf* Find all *fink* files/directories in entire file system,"find / -name ""*fink*"" -print" Find all *fink* files/directories under current directory,"find . -name ""*fink*"" -print" Find all *foo files/directories under current directory,find . -name '*foo' Find all *foo files/directories under current directory (error prone),find . name *foo Find all *fstab* files under and below /etc,find /etc -name *fstab* Find all *gz files under asia and emea directory,"find asia emea -type f -name ""*gz""" Find all *shp* directories under current directory and move '*' (literal) file/directory inside those directories to shp_all,find . -name '*shp*' -execdir mv '{}/*' shp_all ';' Find all *shp* directories under current directory and move all regular files inside those directories to ../shp_all/,"mv $(find $(find . -name ""*shp*"" -printf ""%h\n"" | uniq) -type f) ../shp_all/" Find all *shp* files/directories under current directory and move them to ../shp_all/,"find . -name ""*shp*"" -exec mv {} ../shp_all/ \;" Find all *~ files/directories under dir and print an rm command for each of them for deletion,find dir -name \*~ | xargs echo rm Find all .* files excluding list_files (case insensitive) under current directory,"find . -iname "".*"" \! -iname 'list_files'" find all .bak files in or below the current directory and move them to ~/.old.files directory:,"find . -name ""*.sh"" -print0 | xargs -0 -I {} mv {} ~/back.scripts" Find all .bak files starting from the current directory and delete them,"find . -iname ""*.bak"" -type f -print | xargs /bin/rm -f" "Find all .c and .h files in the current directory tree and search them for ""expr""",find -name '*.[ch]' | xargs grep -E 'expr' Find all .gif and .jpg files in the /var/www directory tree,find /var/www -name *.gif -o -name *.jpg Find all .gif files in the /var/www directory tree,find /var/www -name *.gif Find all .gif files in the /var/www directory tree that are between 5 kB and 10 kB in size,find /var/www -name *.gif -size +5k -size -10k Find all .gz archives in the current directory tree and check if they are valid,"find ""*.gz"" -exec gunzip -vt ""{}"" +" Find all .java files under and below the current directory,find . -name '*.java' Find all .java files under current directory,find . -print | grep '\.java' "Find all .java files whose name contains ""Message""",find . -print | grep '.*Message.*\.java' find all the .jpg files in / and copy them to the current folder.,find / -type f -name *.jpg -exec cp {} . \; Find all .jpg files in the current directory and below.,find . -name “*.jpg” Find all .less files in the current directory tree,find . -name *.less Find all .mp3 files starting from the current directory,find . -type f -iname *.mp3 Find all .mp3 files starting from the current directory and delete them,find . -type f -iname *.mp3 -delete "Find all .php files in all directory trees matching pattern `/srv/www/*/htdocs/system/application/' and search those files for string ""debug (""","find /srv/www/*/htdocs/system/application/ -name ""*.php"" -exec grep ""debug ("" {} \; -print" Find all .php files in the current directory tree,"find . -type f -name ""*.php""" Find all .php files starting from the root directory and ignoring /media,"find / -name ""*.php"" -print -o -path '/media' -prune" Find all .rb files owned by root in the /apps/ folder and below that have been accessed in the last two minutes.,find /apps/ -user root -type f -amin -2 -name *.rb Find all .sh files in or below the current directory and move them to folder ~/back.scripts,"find . -name ""*.sh"" -print0 | xargs -0 -I file mv file ~/back.scripts" Find all .sql files in the current directory recursively and print their path names separated by zeroes,find . -name '*.sql' -print0 Find all .svn directories under current directory and delete them,"find . -type d -name "".svn"" -print | xargs rm -rf" Find all .tmp files under and below the /tmp/ directory and remove them,"find /tmp -name ""*.tmp""| xargs rm" Find all .txt files in the /home/user directory tree and copy them to /home/backup,find /home/user -name '*.txt' | xargs cp -av --target-directory=/home/backup/ --parents Find all .txt files in the /home/user1 directory tree and copy them to /home/backup,find /home/user1 -name '*.txt' | xargs cp -av --target-directory=/home/backup/ --parents Find all .txt files in current directory and rename with .html .,"find . -type f -name ""*.txt"" -exec mv {} `basename {} .html` .html \;" Find all .txt files under the current directory and below,find -name \*.txt Find all .zip files in the current directory tree,find . -depth -name *.zip Find all .zip files starting from the current directory which are owned by user tommye,"find . -type f -user tommye -iname ""*.zip""" Find all /path/to/check/* regular files without descending into any directory,find /path/to/check/* -maxdepth 0 -type f "Find all 1.txt, 2.txt and 3.txt files under current directory and change the permission to 444",find . \( -name 1.txt -o -name 2.txt -o -name 3.txt \) -print|xargs chmod 444 Find all 100MB+ files and delete them,find / -size +100M -exec rm -rf {} \; Find all 15MB files,find / -size 15M Find all 1US* files/directories under current directory,find . -name '1US*' Find all 400 permission files under /data directory,find /data -type f -perm 400 Find all 400 permission files under /data directory and change their permission to 755,find /data -type f -perm 400 -print | xargs chmod 755 "Find all 400 permission files under /data directory, print 'Modifying ' appended with file path for each of them and change their permission to 755",find /data -type f -perm 400 -exec echo Modifying {} \; -exec chmod 755 {} \; Find all 400 permission files under /data directory with null character as the delimiter,find /data -type f -perm 400 -print0 Find all 50MB files,find / -size 50M Find all 664 permission files/drectories under current directory tree,find . -perm -664 Find all 755 permission regular files under current directory tree,find . -type f -perm 755 Find all 777 permission directories under current directory and set permissions to 755,find . -type d -perm 777 -print -exec chmod 755 {} \; Find all ES* and FS_* files under current directory,"find . -type f \( -iname ""ES*"" -o -iname ""FS_*"" \)" Find all Name* files under ../../$name-module and rename them by replacing 'Name' with $Name (will be expanded in the current shell) in their names,"find ../../$name-module -print0 -name 'Name*' -type f | xargs -0 rename ""s/Name/$Name/""" Find all aliencoders.[0-9]+ files/directories under /home/jassi/ directory,"find /home/jassi/ -name ""aliencoders.[0-9]+""" Find all aliencoders.[0-9]+ files under /home/jassi/ directory,"find /home/jassi/ -type f -name ""aliencoders.[0-9]+""" find all the backup files in the current folder and delete them,"find . -type f -name ""*.bak"" -exec rm -f {} \;" find all the backup files in the current folder and delete them after user confirmation,"find . -type f -name ""*.bak"" -exec rm -i {} \;" Find all broken symlinks under /path/to/search directory,find /path/to/search -type l -xtype l Find all broken symlinks under current directory,find -L . -type l Find all btree*.c files under current directory,find . -type f -name 'btree*.c' Find all build* directories under /var/www/html/ and print all but first 5 appending with the string 'rf ',"find /var/www/html/ -type d -name ""build*"" | sort | tail -n +5 | xargs -I % echo -rf %" Find all build* directories under /var/www/html/ and reverse sort them,"find /var/www/html/ -type d -name ""build*"" | sort -r" Find all build* directories under current directory and reverse sort them,"find . -type d -name ""build*"" | sort -r" "Find all C source code files from the current directory tree that contain ""keyword"" in their pathnames, ignoring the case",find . -type f \( -iname “*.c” \) |grep -i “keyword” Find all catalina* files/directories under /path/to/search/in,find /path/to/search/in -name 'catalina*' Find all catalina* files/directories under current directory,find -name 'catalina*' find all class files or sh script files in the current folder,"find . -type f \( -name ""*.class"" -o -name ""*.sh"" \)" find all the configuration files in /etc folder along with the last access & modification time,"find /etc -name ""*.conf"" -printf ""%f %a, %t\n""" find all configuration files in a folder,"find /home/pat -iname ""*.conf""" find all the configuration files which have been accessed in the last 30 minutes.,find /etc/sysconfig -amin -30 find all the configuration or text files in current directory,"find . -type f \( -name ""*.conf"" -or -name ""*.txt"" \) -print" find all the core files in the entire file system and delete them,find / -name core -exec rm -f {} \; find all the core files in the temp folder and force delete them,find /tmp -name core -type f -print | xargs /bin/rm -f find all the cpp files in current folder,"find -name ""*.cpp""" find all the cpp files in the current folder,find . -iname '*.cpp' -print find all the cpp files in the current folder and move them to another folder,find . -type f -iname '*.cpp' -exec mv {} ./test/ \; find all the cpp files in the current folder and move them to another folder(plus takes the bulk output of the find command and gives it as input to the move command in exec),find . -type f -iname '*.cpp' -exec mv -t ./test/ {} \+ "find all the cpp(C++ source files), java, header files in the current directory",find . -name *.cpp -o -name *.h -o -name *.java Find all CSS files,"find . -name ""*.css""" find all the css files,find -name '*.css' Find all CSS files that do something with HTML ID #content,"find . -name ""*.css"" -exec grep -l ""#content"" {} \;" find all data files in current folder which have not been changed in the last 60 minutes and display their name without extension,"find . -iregex ""./[^/]+\.dat"" -type f -cmin +60 -exec basename {} \;" find all the database files in the folder /var/named,find /var/named -type f -name *.db Find all dir* files/directories under parent,find parent -name dir* "Find all directories and for each of them, print an mv command to move it to /new/location",find . -type d -execdir echo /bin/mv {} /new/location \; Find all directories at level 3 of directory tree $from_dir,find $from_dir -mindepth 3 -maxdepth 3 -type d Find all directories by the name `httpdocs' on the system,find / -type d -name 'httpdocs' Find all directories in the /data1/realtime directory tree that were last modified more than 5 minutes ago but less than 60 minutes ago,find /data1/realtime -mmin -60 -mmin +5 -type d Find all directories in the /data1/realtime directory tree that were modified within the last 60 minutes,find /data1/realtime -mmin -60 -type d Find all directories in the /path/to/base/dir tree,find /path/to/base/dir -type d Find all directories in /path/to/dir/ without going into sub-directories,find /path/to/dir/ -mindepth 1 -maxdepth 1 -type d Find all directories in /path/to/dir/ without going into sub-directories and append a null character at the end of each paths,find /path/to/dir/ -mindepth 1 -maxdepth 1 -type d -print0 Find all directories in /var/www/html/zip/data/*/*/*/*/* that are older than 90 days and print only unique paths,find /var/www/html/zip/data -type d -mtime +90 | uniq Find all directories in 1 level down the current directory,find . -mindepth 1 -maxdepth 1 -type d "Find all directories in the current directory tree excluding hidden directories and create them in ""../demo_bkp""",find . -not -path \*/.\* -type d -exec mkdir -p -- ../demo_bkp/{} \; Find all directories in the current directory tree that are not accessible by all,find -type d ! -perm -111 Find all directories in the current directory tree that do not have `execute' permissions for anyone,find . -type d ! -perm -111 Find all directories in the current directory tree that were last modified more than 5 minutes ago but less than 60 minutes ago,find . -mmin -60 -mmin +5 "Find all directories in the current directory tree with ""music_files"" in their names",find . -type d -iname \*music_files\* "find all directories in the current directory which have the name foo and do not have the extension ""bar""",find . -name '*foo*' ! -name '*.bar' -type d -print find all the directories in the current folder and create the same directory structure in a remote machine using ssh,"find -type d | ssh server-B 'xargs -I% mkdir -p ""/path/to/dir/%""'" find all the directories in current folder and delete them,find . -type d -delete find all the directories in the current folder excluding search in the sub directories and create these directories in another path,find . -maxdepth 1 -type d | xargs -I X mkdir '/new/directory/X' find all the directories in the current folder that are empty(size 0 bytes),find -type d -empty "find all the directories in the current folder which begin with the words ""kt"" and end with a digit",find . -regex './kt[0-9] ' find all the directories in current folder which start with test,"find . -type d -name ""test*""" "Find all directories in the current one recursively which have the write bit set for ""other""",find . -type d -perm -o=w "Find all directories in the current one with ""linkin park"" in their names and copy them to /Users/tommye/Desktop/LP","find . -maxdepth 1 -type d -iname ""*linkin park*"" -exec cp -r {} /Users/tommye/Desktop/LP \;" find all the directories in the different folders excluding search in the sub directories and create these folders in the current directory,find /media/New\ Volume/bhajans -maxdepth 1 -type d | xargs -0 mkdir -p Find all directories in directory tree `httpdocs',find httpdocs -type d Find all directories in entire file system which are larger than 50KB,find / -type d -size +50k "find all the directories in the file system which begin with ""man""",find / -type d -name 'man*' -print "find all the directories in the file system which have read, write and execute to all the users",find / \( -type d -a -perm -777 \) -print find all the directories in the folder $LOGDIR which have been modified in the last 5 days and delete them.,find $LOGDIR -type d -mtime +5 -exec rm -f {} \; find all the directories in the folder /raid with the extension local_sd_customize.,"find /raid -type d -name "".local_sd_customize"" -print" Find all directories in level 1 down the $queue directory,"echo ""$queue"" | xargs -I'{}' find {} -mindepth 1 -maxdepth 1 -type d" Find all directories in maximum 1 level down the current directory that were modified less than 1 day ago,find -maxdepth 1 -type d -mtime -1 Find all directories in maximum 2 levels down the /tmp directory,find /tmp -maxdepth 2 -mindepth 1 -type d Filnd all directory in root directory with 777 permission and change permision755 with chmod commad .,find / -type d -perm 777 -print -exec chmod 755 {} \; Find all directories matching the regex '.texturedata' in their names under '/path/to/look/in/' directory tree,find /path/to/look/in/ -type d | grep .texturedata "Find all directories named ""0"" in the current directory tree and create a single tar archive of their RS* subdirectories","find . -type d -name ""0"" -execdir tar -cvf ~/home/directoryForTransfer/filename.tar RS* \;" "Find all directories named ""0"" in the current directory tree and create a tar archive of their RS* subdirectories","find . -type d -name ""0"" -execdir tar -cvf filename.tar RS* \;" "Find all directories named ""D"" in the ""A"" directory tree",find A -type d -name 'D' "Find all directories named ""nasa""",find . -name nasa -type d Find all directories named $1 under $HOME directory tree and remove them,find $HOME -type d -name $1 -exec echo {} ';' -exec rm -rf {} ';' Find all directories named '.texturedata' under '/path/to/look/in/' directory tree,find /path/to/look/in/ -type d -name '.texturedata' Find all directories named 'files' under current directory and set read-write-execute permission for owner and group and no permission for other for those directories,"find . -type d -name files -exec chmod ug=rwx,o= '{}' \;" Find all directories named 'mydir' under 'local' and '/tmp' directory tree,find local /tmp -name mydir -type d -print Find all directories named 'octave' under current directory tree,"find . -name ""octave"" -type d" Find all directories named build under the current directory,find . -type d -name build "Find all directories named CVS, and deletes them and their contents.",find . -type d -name CVS -exec rm -r {} \; Find all directories named postgis-2.0.0 under / directory,"sudo find / -type d -name ""postgis-2.0.0""" Find all directories named essbase under /fss/fin,"find /fss/fin -type d -name ""essbase"" -print" Find all directories recursively starting from / and count them,find / -type d | wc -l "Find all directories recursively starting from / and skipping the contents of /proc/, and count them",find / -path /proc -prune -o -type d | wc -l "Find all directories starting from YOUR_STARTING_DIRECTORY that contain the string ""99966"" in their names","find YOUR_STARTING_DIRECTORY -type d -name ""*99966*"" -print" Find all directories that have been accessed $FTIME days ago under current directory tree,find . -type d -atime $FTIME Find all directories that have been modified in the last seven days.,find . -mtime -7 -type d find all directories that names are 'apt' and display details,"find / -type d -name ""apt"" -ls" find all directories that names are 'project.images',"find / -type d -name ""project.images""" Find all directories under $1/.hg and set their SGID bit,"find ""$1""/.hg -type d -print0 | xargs chmod g+s" Find all directories under $ROOT_DIR and show the sub-directories of the directories before the directories themselves,find $ROOT_DIR -type d -depth -print Find all directories under $d directory and set read-write-execute permission for owner and group and no permission for other for those directories,"find $d -type d -exec chmod ug=rwx,o= '{}' \;" Find all directories under $path,find $path -type d Find all directories under $root and replace all newlines with : (colon) in the output,find $root -type d | tr '\n' ':' Find all directories under ${1:-.} directory without descending into any sub-directories,find ${1:-.} -mindepth 1 -maxdepth 1 -type d Find all directories under '.cache/chromium/Default/Cache' that are bigger than 100 MB in size excluding single letter directory names,find .cache/chromium/Default/Cache/ -type d -print0 | du -h | grep '[0-9]\{3\}M' | cut -f2 | grep -v '^.$' Find all directories under '/nas' directory tree,find /nas -type d Find all directories under '/var/www' directory tree excluding '/var/www/web-release-data' and '/var/www/web-development-data' directories and their sub-directories,"find /var/www -type d \( ! -wholename ""/var/www/web-release-data/*"" ! -wholename ""/var/www/web-development-data/*"" \)" Find all directories under 'A' directory tree excluding paths containing the directory 'a',"find A -type d \( ! -wholename ""A/a/*"" \)" Find all directories under 'test' directory tree that match the regex '.*/course[0-9.]*' in their paths,find test -type d -regex '.*/course[0-9.]*' Find all directories under 'test' directory tree whose paths match the regex '.*/course[0-9]\.[0-9]\.[0-9]\.[0-9]$',find test -type d -regex '.*/course[0-9]\.[0-9]\.[0-9]\.[0-9]$' Find all directories under /fss/fin,find /fss/fin -type d Find all directories under /home/me,find /home/me -type d "Find all directories under /home/me/""$d""","find /home/me/""$d"" -type d" Find all directories under /home/username/public_html/modules and set their permission to 750,find /home/username/public_html/modules -type d -exec chmod 750 {} + Find all directories under /home/username/public_html/sites/all/modules and set their permission to 750,find /home/username/public_html/sites/all/modules -type d -exec chmod 750 {} + Find all directories under /home/username/public_html/sites/all/themes and set their permission to 750,find /home/username/public_html/sites/all/themes -type d -exec chmod 750 {} + Find all directories under /home/username/public_html/sites/default/files and set their permission to 770,find /home/username/public_html/sites/default/files -type d -exec chmod 770 {} + Find all directories under /home/username/public_html/themes and set their permission to 750,find /home/username/public_html/themes -type d -exec chmod 750 {} + Find all directories under /home/username/tmp and set their permission to 770,find /home/username/tmp -type d -exec chmod 770 {} + Find all directories under /path/to/Dir and set their permission to 755,sudo find /path/to/Dir -type d -print0 | xargs -0 sudo chmod 755 "Find all directories under /path/to/base/cache, /path/to/base/tmp, /path/to/base/logs and change their permission to 755",find /path/to/base/cache /path/to/base/tmp /path/to/base/logs -type d -exec chmod 755 {} + Find all directories under /path/to/base/dir and change their permission to 755,chmod 755 $(find /path/to/base/dir -type d) Find all directories under /path/to/dir (no sub-directories) and archive them (with relative paths) into files with .tar.gz extension,find /path/to/dir -mindepth 1 -maxdepth 1 -type d -execdir sudo tar -zcpvf {}.tar.gz {} \; Find all directories under /path/to/dir and change their permission to 755,find /path/to/dir -type d -exec chmod 755 {} + Find all directories under /var/www directory and set their permission to 755,sudo find /var/www -type d -print0 | xargs -0 chmod 755 Find all directories under /var/www/some/subset and set their SGID bit,sudo find /var/www/some/subset -type d -print0 | xargs -0 chmod g+s "Find all directories under and below /home/admin/public_html/, and change their permissions to 755",find /home/admin/public_html/ -type d -exec chmod 755 {} \; "Find all directories under and below /root that match pattern ""*linux*"", case insensitive","find /root -type d -iname ""*linux*""" "Find all directories under and below directory ""folder_name"", and change their permissions to 775",find folder_name -type d -exec chmod 775 ‘{}’ \; Find all directories under and below parent_directory,find parent_directory -type d Find all directories under current directory and change their permission to 500,find . -type d -exec chmod 500 {} \; Find all directories under current directory and change their permission to 644,find -type d -print0|xargs -0 chmod 644 Find all directories under current directory and change their permission to 700,find . -type d -exec chmod 700 {} \; "Find all directories under current directory and make them read, write, and executable for owner & group and remove read-write-execute permission for other","find . -type d -name files -exec chmod ug+rwx,o-rwx {} \;" Find all directories under current directory and set their permission to 755,find -type d exec chmod 755 {} + Find all directories under current directory and set read-write-execute permission for owner and group and no permission for other for those directories,"find . -type d -exec chmod ug=rwx,o= {} \;" "Find all directories under current directory and set read-write-execute permission for owner, read-execute permission for group and execute permission for other for those directories","find . -type d -exec chmod u=rwx,g=rx,o=x {} \;" "Find all directories under current directory and set read-write-execute permission for owner, read-execute permission for group and other for those directories","find . -type d -exec chmod u=rwx,g=rx,o=rx {} \;" Find all directories under current directory excluding directories (along with their contents) that start with a . (dot) in their names,find -type d -a ! -name '.?*' -o ! -prune Find all directories under current directory excluding those which match the regex /\. in their names,find . -type d | grep -v '/\.' Find all directories under current directory having DIRNAME in their name,find . -type d | grep DIRNAME "Find all directories under the current directory that is on the same filesystem, execute ""/tmp/count_em_$$"" with the directory as an argument, sort the result numerically from least value to greatest value",find . -mount -type d -print0 | xargs -0 -n1 /tmp/count_em_$$ | sort -n Find all directories under current directory tree that match the case insensitive regex '^\./course\([0-9]\.\)*[0-9]$' in their paths,find . -type d -iregex '^\./course\([0-9]\.\)*[0-9]$' Find all directories under current directory tree that were modified $FTIME days ago,find . -type d -mtime $FTIME Find all directories under current directory whose names are 33 characters long,"find . -type d -name ""?????????????????????????????????""" Find all directories under current directory whose paths are 5 characters long,"find . -regextype posix-extended -type d -regex "".{5}""" Find all directories under dir whose names are 33 characters long,find dir -name '?????????????????????????????????' Find all directories under htdocs directory and set their permission to 775,find htdocs -type d -exec chmod 775 {} + Find all directories under maximum 1 level down the /parent directory and set their permission to 700 recursively,find /parent -maxdepth 1 -type d -print0 | xargs -0 chmod -R 700 Find all directories under media/ directory and change their permission to 700,find media/ -type d -exec chmod 700 {} \; Find all directories under minimum 1 level down the $GIVEN_DIR directory,"find ""$GIVEN_DIR"" -type d -mindepth 1" Find all directories under minimum 1 level down the $GIVEN_DIR directory with null character as the delimiter,"find ""$GIVEN_DIR"" -type d -mindepth 1 -print0" Find all directories under minimum 2 levels down the mydir directory,find mydir -mindepth 2 -type d Find all directories under mydir,find mydir -type d Find all directories under path_to_dir directory,find path_to_dir -type d Find all directories under present working directory,find $PWD -type d Find all directories under var/ directory and change their permission to 700,find var/ -type d -exec chmod 700 {} \; Find all directories under ~/code without descending into hidden directories and print them appended with : (colon),find ~/code -name '.*' -prune -o -type d -printf ':%p' Find all directories whose name is root in / directory,find / -type d -name root Find all directories whose name is Tecmint in / directory,find / -type d -name Tecmint Find all directories whose status were changed $FTIME days ago,find . -type d -ctime $FTIME Find all directories with 755 permission and change the permission to 700,find . -type d -perm 755 -exec chmod 700 {} \; "find all the directories with the name ""DIRNAME"" in the current folder and force delete them",find . -type d -name “DIRNAME” -exec rm -rf {} \; "find all the directories with the name ""c"" in the current folder which are at least 3 levels deep and which are not present in the path ""/p/"".",find -mindepth 3 -type d ! -path '*/p/*' -name c -print "find all directories with the name ""lib64"" in the usr folder and replace space with ':'",find /usr -name lib64 -type d|paste -s -d: "find all the directories with the name ""uploads"" in current folder",find . -type d -name 'uploads' find all directories with the name test in a directory,find /home/john -type d -name test -print Find all directories with space in their names under current directory and rename them by replacing all spaces with _,"find -name ""* *"" -type d | rename 's/ /_/g'" find all the empty directories in the current folder,find . -type d -empty find all the empty directories in the current folder and all its sub directories too,find . -depth -empty -type d Find all empty directories in minimum 2 levels down the root directory,find root -mindepth 2 -type d -empty Find all empty directories under /tmp,find /tmp -type d -empty find all empty files,find / -empty Find all empty files in /tmp,find /tmp -type f -empty find all empty files in the current directory ( empty file = size 0 bytes ),find . -size 0 Find all empty files in the current directory and delete them,find . -empty -maxdepth 1 -exec rm {} \; Find all empty regular files in the current directory tree,find . -size 0c -type f "find all the error, access, ssl_engine and rewrite logs which are bigger than 300MB and are less then 5GB in the folder /opt",find /opt \( -name error_log -o -name 'access_log' -o -name 'ssl_engine_log' -o -name 'rewrite_log' -o -name 'catalina.out' \) -size +300000k -a -size -5000000k Find all Executable files,find / -perm /a=x find all executable files,find / -executable find all executable files in /home directory.,find /home -type f -perm /a=x Find all executable files under current directory and reverse sort them,find . -perm -111 -type f | sort -r Find all executable files under current directory and show a few lines of output from the beginning,find . -perm /a=x | head Find all executables in the current directory tree,find ./ -executable Find all executable symlinks or upvoter-* files under maximum 1 level down the {} directory,find {} -name 'upvoter-*' -type f -or -type l -maxdepth 1 -perm +111 Find all executables under /path directory,find /path -perm /ugo+x Find all executable upvoter-* files (following symlinks) under maximum 1 level down the current directory,find -L -maxdepth 1 -name 'upvoter-*' -type f -perm /111 Find all fglrx-libGL* files under and below debian/fglrx/,find debian/fglrx/ -name 'fglrx-libGL*' Find all fglrx-libglx* files under and below debian/fglrx/,find debian/fglrx/ -name 'fglrx-libglx*' find all files & dircetiry in current directory which have .tmp extension and delete them .,"find . -type f -name ""*.tmp"" -exec rm -rf {} \;" "Find all files accessed on the 29th of September, 2008, starting from the current directory",find . -type f -newerat 2008-09-29 ! -newerat 2008-09-30 Find all files/directories containing 'blah' (case insensitive) in their names that were modified in less than 2 days ago uder current directory tree,find . -iname '*blah*' -mtime -2 Find all files/directories containing 'farm' in their names under '/usr/share' directory tree,find /usr/share -name '*farm*' Find all files/directories containing 'foo' in their names under current directory tree,find . -name '*foo*' Find all files/directories excluding paths that match '.git' or '.gitignore',find -print0 | grep -vEzZ '(\.git|\.gitignore/)' Find all files/directories following symbolic links under current directory tree that are owned by 'root' user,find . -follow -uid 0 -print Find all files/directories following symlinks under /path/to/dir/* paths and print the timestamp in YmdHMS format along with their paths,"find -L /path/to/dir/* -printf ""%TY%Tm%Td%TH%TM%TS|%p\n""" Find all files/directories greater than 100MB and print their list along with their size in /root/big.txt file,find \( -size +100M -fprintf /root/big.txt '%-10s %p\n' \) Find all files/directories ignoring *~ files/directories without descending into .snapshot directory with null character as the delimiter,find . -name .snapshot -prune -o \( \! -name *~ -print0 \) Find all the files/directories in '/path/to/files' directory tree which have not been modified in the last 2 hours,"find ""/path/to/files"" -mmin +120" Find all files/directories in 1 level down the current directory,find -mindepth 1 -maxdepth 1 Find all files/directories in all paths expanded by the glob pattern *,find * Find all files/directories in current directory and execute the script itself with minimal invocation for those files/directories,find . -exec $0 {} + Find all files and directories in the current directory recursively that contain spaces in their names,find . -name '* *' "Find all files and directories in the current directory tree except those whose name is ""dirname"", case insensitive",find ./ -iname ! -iname dirname Find all the files/directories in the current directory tree which have been modified between 2014-08-25 and 2014-08-26,find ./ -newermt 2014-08-25 ! -newermt 2014-08-26 -print "Find all files and directories in the current directory tree with ""linkin park"" in their names and copy them to /Users/tommye/Desktop/LP","find . -iname ""*linkin park*"" -exec cp -r {} /Users/tommye/Desktop/LP \;" Find all files/directories in directories/files taken from the glob pattern '/folder/path/*' recursively that have not been modified in the last 2 hours and delete them,find /folder/path/* -mmin +120 -delete Find all files/directories in directories/files taken from the glob pattern '/tmp/test/*' recursively that have not been modified from the start of the day,find /tmp/test/* -daystart -mtime +0 "Find all files/directories in entire file system for which owner has at least read/write permissions, or the group has at least read permission, or others have at least read permission","find / -perm /u+rw,g+r,o+r" "Find all files/directories in entire file system for which owner has read/write/execute permissions, or the group has at least execute permission, or others have at least execute permission",find / -perm /711 Find all files/directories in entire file system less than 50 bytes,find / -size -50c "Find all files/directories in entire file system that have ""write"" bit set for either the owner, the group, or others",find / -perm /222 Find all files/directories in entire file system that are exactly 50 bytes,find / -size 50c "Find all files/directories in entire file system that are owned by ""syslog"" user",find / -user syslog Find all files/directories in level $i down the current directory with all positional parameters appended with the find command,"find -mindepth $i -maxdepth $i ""$@""" Find all files/directories in level 1 down the $queue directory with all positional parameters appended with the find command,"echo ""$queue"" | xargs -I'{}' find {} -mindepth 1 -maxdepth 1 $*" Find all files/directories in level 2 down the current directory,find -mindepth 2 -maxdepth 2 Find all files/directories in maximum 1 level down the current directory which do not have only read permission for 'other',find . -maxdepth 1 ! -perm -o=r Find all files/directories in the paths expanded by the glob pattern '.*',find .* "Find all files and directories last modified less than a day ago and copy to ""../changeset"" creating directories as needed",find * -mtime -1 -daystart -print0 | cpio -pd0 ../changeset Find all files/directories matching the regex .*sql.*,find -regex .*sql.* "Find all files/directories matching the regex pattern "".*\\.rb$"" under current directory","find . -regex "".*\\.rb$""" Find all files/directories named $something under current directory,"find -name ""$something""" Find all files/directories named '.todo' under $STORAGEFOLDER directory tree and print their parent paths,"find ""$STORAGEFOLDER"" -name .todo -printf '%h\n'" Find all files/directories named 'Desktop' under current directory,find ./ -name Desktop Find all files/directories named 'FindCommandExamples.txt' that belong to the user 'root' in the entire filesystem,find / -user root -name FindCommandExamples.txt Find all files/directories named 'Waldo' under 'Books' directory tree that is located in user's home directory,find ~/Books -name Waldo Find all files/directories named 'apt' in the entrie filesystem,"find / -name ""apt""" Find all files/directories named 'articles.jpg' under '/home/username/public_html/images' directory tree,"find /home/username/public_html/images -name ""articles.jpg""" Find all files/directories named 'articles.jpg' under 'images' directory tree,"find images -name ""articles.jpg""" Find all files/directories named 'articles.jpg' under current directory tree,"find . -name ""articles.jpg""" Find all files/directories named 'com.apple.syncedpreferences.plist' (case insensitive) under ~/Library directory tree,"find ~/Library/ -iname ""com.apple.syncedpreferences.plist""" Find all files/directories named 'date' under /usr,find /usr -name date Find all files/directories named 'document' in the entire filesystem,find / -name document -print Find all files/directories named 'document' in maximum 4 levels down the '/usr' directory,find /usr -maxdepth 4 -name document -print Find all files/directories named 'document' in the root filesystem partition,find / -xdev -name document -print Find all files/directories named 'file' and print them with null character as the delimiter instead of newline,find -name file -print0 Find all files/directories named 'file' without descending into directories with the same name under current directory tree,find -name file -prune Find all files/directories named 'file_name' under current directory tree,find . -name file_name Find all files/directories named 'filename' that belong to user 'username' and group 'groupname' in the entire filesystem,find / -user username -group groupname -name filename Find all files/directories named 'findcommandexamples.txt' (case insensitive) in the entire filesystem,find / -iname findcommandexamples.txt Find all files/directories named 'foo' in the entire filesystem,find / -name foo Find all files/directories named 'foo' under current directory tree without descending into directories named 'foo',find . -name foo -type d -prune -o -name foo Find all files/directories named 'foo.bar' in the entire filesystem,find / -name foo.bar -print Find all files/directories named 'foo.bar' under './dir1' and './dir2' directory trees,find ./dir1 ./dir2 -name foo.bar -print Find all files/directories named 'foo.rb' under current directory tree,find . -name foo.rb Find all files/directories named 'fprintf.c' under '/usr/src' directory tree,find /usr/src -name fprintf.c Find all files/directories named 'game' under current directory tree,find . -name game Find all files/directories named 'java' under /usr directory,find /usr -name java Find all files/directories named 'javac' under current directory,find . -name 'javac' Find all files/directories named 'my.txt' in the entire filesystem,"find / -name ""my.txt""" Find all files/directories named 'myfile' under your home directory,find ~ -name myfile Find all files/directories named 'pattern' under current directory tree,"find . -name ""pattern"" -print" Find all files/directories named 'photo?.jpg' under current directory tree,find . -name photo\?.jpg Find all files/directories named 'query' (case insensitive) under current directory,"find -iname ""query""" Find all files/directories named 'query' under current directory,"find -name ""query""" Find all files/directories named 'test' under current directory tree,find . -name test Find all files/directories named 'testfile.txt' under current directory tree,find . -name testfile.txt Find all files/directories named 'text' under current directory,"find -name ""text""" Find all files/directories named 'top' (case insensitive) in the entire filesystem,find / -iname top Find all files/directories named 'top' in the entire filesystem,find / -name top Find all files/directories named 'vimrc' in the entire filesystem,find / -name vimrc Find all files/directories named 'имя_файла' in the entire filesystem,"find / -name ""имя_файла""" Find all files/directories named file in 2 and 3 levels down the current directory,find -mindepth 2 -maxdepth 3 -name file Find all files/directories named file in minimum 4 levels down the current directory,find -mindepth 4 -name file Find all files/directories named orm.properties in entire file system,"sudo find / -name ""orm.properties""" Find all files/directories named orm.properties under /eserver6 directory,"find /eserver6 -name ""orm.properties""" Find all files/directories named orm.properties under /eserver6/share/system/config/cluster directory,"find /eserver6/share/system/config/cluster -name ""orm.properties""" Find all files/directories named orm.properties under current directory,"find . -name ""orm.properties""" Find all files/directories named Root under current directory and copy them to newRoot,find . -name Root | xargs cp newRoot Find all files/directories newer than ttt or owned by user 'wn' in entire file system,find / \( -newer ttt -or -user wnj \) -print Find all files/directories not with the name 'query_to_avoid' under current directory,"find -not -name ""query_to_avoid""" Find all files and directories on the system whose name is `filedir',find / -name filedir Find all files/directories owned by the user 'bob' under '/home' directory tree,find /home -user bob Find all files/directories owned by user 'joebob' under '/some/directory' directory tree,find /some/directory -user joebob -print Find all files/directories starting with 'app-' and ending with '.log' in their names and have been modified in the last 5 minutes,find /var/log/crashes -name app-\*\.log -mmin -5 Find all files/directories starting with 'onlyme' in their names under current directory tree in minimum 1 level deep,find . -mindepth 1 -name 'onlyme*' Find all files/directories startring with 'onlyme' in their names under current directory without going into sub-directories,find . -maxdepth 1 -name 'onlyme*' Find all files/directories starting with 'readme' (case insensitive) under '/usr/share/doc' directory tree,find /usr/share/doc -name '[Rr][Ee][Aa][Dd][Mm][Ee]*' Find all files/directories that are bigger than 100 bytes under '/home/apache' directory tree,find /home/apache -size 100c -print Find all files/directories that are newer than 'backup.tar.gz' by modification time,find . -newer backup.tar.gz Find all files/directories that are newer than 'ttt' by modification time or owned by the user 'wnj' in the entire filesystem,find / \( -newer ttt -or -user wnj \) -print Find all files/directories that are owned by user 'dave' under current user's home directory tree,find ~ -user dave -print Find all files/directories that are owned by user 'takuya' under current directory tree,find -user takuya Find all files and directories that have been modified in the last seven days.,find . -mtime -7 Find all files/directories that belong to the group 'accts' under '/apps' directory tree,find /apps -group accts -print Find all files/directories that belong to the group 'audio' under '/dev' directory tree,find /dev -group audio Find all files/directories that belong to the group 'staff' under '/usr' directory tree,find /usr -group staff Find all files/directories that contain 'packet' (case insensitive) in their names excluding directories that are bigger than 1500 bytes in size,"find . -iregex "".*packet.*"" ! -type d -size +1500c" Find all files/directories that contain 'target' (case insensitive) in their names under current directory no-recursively,"find -maxdepth 1 -iname ""*target*""" Find all files/directories that contain the string literal '$VERSION' in their names under current directory tree,find . -name '*$VERSION*' Find all files/directories that do not belong to any user under '/home' directory tree,find /home -nouser -print Find all files/directories that do not contain 'photo' in their names under current directory tree,"find . ! -name ""*photo*""" Find all files and directories that do not match the pattern given as the $controlchars variable,"find . ! -name ""$controlchars""" "Find all files/directories that have read, write, execution permission for user and belong to the user 'my_user' under current directory tree",find . -user my_user -perm -u+rwx Find all files/directories that start with 'a1a2' and end with 'txt' in their names and move their parent directories to '/home/spenx/dst/' directory,"find /home/spenx/src -name ""a1a2*txt"" | xargs -n 1 dirname | xargs -I list mv list /home/spenx/dst/" Find all files/directories that start with 'onlyme' in their names under maximum 2 levels down the current directory,find . -maxdepth 2 -name 'onlyme*' Find all files/directories that start with 'test' in their names under current directory tree,find . -name 'test*' Find all files/directories under $1 which have at least write permission for their owner and set write permission for group for these files/directories,"find ""$1"" -perm -u+w -print0 | xargs chmod g+w" "Find all files/directories under $TARGET_DIR directory tree matching the posix extended regular expression \"".*/$now.*\"" (where $now is a variable) and save the output in file $FILE_LIST","find $TARGET_DIR -regextype posix-extended -regex \"".*/$now.*\"" -fprint $FILE_LIST" Find all files/directories under $dir directory,"find ""$dir""" Find all files/directories under $dir directory tree which have been modified in the last 3 days,find $dir -mtime -3 Find all files/directories under '/abs/path/to/directory' directory non-recursively that match the pattern '.*invalidTemplateName.*' in their names,find /abs/path/to/directory -maxdepth 1 -name '.*invalidTemplateName.*' Find all files/directories under '/directory_path' directory tree that have been modified within the last day,find /directory_path -mtime -1 -print Find all files/directories under '/home/exampleuser/' directory tree whose names end with 'conf' and were modified exactly 3 days ago,"find /home/exampleuser/ -name ""*conf"" -mtime 3" Find all files/directories under '/home/user/' directory tree whose status was changed exactly 10 minitues ago,find /home/user/ -cmin 10 -print Find all files/directories under '/usr' directory tree that have not been modified in the last 356 days counting days from today,find /usr -mtime +356 -daystart Find all files/directories under '/usr/local' containing 'blast' (case insensitive) in their names,"find /usr/local -iname ""*blast*""" Find all files/directories under '/usr/local/games' directory tree that contain the string 'xpilot' in their names,"find /usr/local/games -name ""*xpilot*""" "Find all files/directories under '/usr/share/data' directory tree that match the posix extended regex "".*/20140624.*"" in their paths and save the list to '/home/user/txt-files/data-as-of-20140624.txt'","find /usr/share/data -regextype posix-extended -regex "".*/20140624.*"" -fprint /home/user/txt-files/data-as-of-20140624.txt" Find all files/directories under '/usr/share/doc' directory tree that contain 'readme' (case insensitive) at the beginning of their names,find /usr/share/doc -iname readme\* Find all the files/directories under '/var/adm' directory tree that have not been modified in the last 3 days,find /var/adm -mtime +3 -print Find all files/directories under '/var/log' directory tree that bave been modified today (from the start of the day),find /var/log -daystart -mtime 0 Find all files/directories under '/var/tmp' directory tree that belong to a user with user id 1000,find /var/tmp -uid 1000 Find all files/directories under 'A' directory tree excluding directory 'A/a' and all of it's contents,"find A \! -path ""A/a/*"" -a \! -path ""A/a""" Find all files/directories under 'A' directory tree excluding the paths containing the directory 'a',"find A \! -path ""A/a/*""" Find all files/directories under 'my key phrase' directory,find 'my key phrase' Find all files/directories under .. directory and copy them to ~/foo/bar,find .. -exec cp -t ~/foo/bar -- {} + Find all files/directories under ./var/log directory,find ./var/log Find all files/directories under /home/baumerf/public_html/ that were modified less than 60 minutes ago excluding *.log files/directories,find /home/baumerf/public_html/ -mmin -60 -not -name \*.log Find all files/directories under /home/baumerf/public_html/ that were modified less than 60 minutes ago excluding error_log files/directories,find /home/baumerf/public_html/ -mmin -60 -not -name error_log Find all files/directories under /home/bozo/projects directory that were modified 1 day ago,find /home/bozo/projects -mtime 1 Find all files/directories under /home/feeds/data directory,find /home/feeds/data Find all files/directories under /home/foo/public_html/ that were modified less than 60 minutes ago,grep ! error_log | find /home/foo/public_html/ -mmin -60 Find all files/directories under /myfiles that were accessed more than 30 days ago,find /myfiles -atime +30 Find all files/directories under /myfiles that were modified 2 days ago,find /myfiles -mtime 2 Find all files/directories under /path directory that were modified more than 30 minutes ago,find /path -mtime +30m Find all files/directories under /path/to/dir and set directory permission to 0755 and file permission to 0644,find /path/to/dir -type d -exec chmod 0755 '{}' \; -o -type f -exec chmod 0644 '{}' \; Find all files/directories under /path/to/dir/* paths and print the timestamp in YmdHMS format along with their paths and object of symlinks,"find /path/to/dir/* -printf ""%TY%Tm%Td%TH%TM%TS|%p|%l\n""" Find all files/directories under /proc and run ls command on each.,find /proc -exec ls '{}' \; Find all files/directories under /usr/tom which matches the extended regex '*.pl| *.pm' in their names,find /usr/tom | egrep '*.pl| *.pm' Find all files/directories under /var/log directory,find /var/log Find all files/directories under _CACHE_* directories,find _CACHE_* Find all files/directories under current /export/home/someone directory and upload them to ftp://somehost/tmp/,find /export/home/someone -exec curl -u someone:password -vT {} ftp://somehost/tmp/ Find all files/directories under current directory,find -print Find all files/directories under current directory and append a null character at the end of each path,find -print0 "find all files and directories under the current directory and display the inode of each one, using printf","find . -printf ""%i \n""" Find all files/directories under current directory and print their paths,"find . -exec echo {} "";""" Find all files/directories under current directory and print them twice in each line,"find | xargs -i sh -c ""echo {} {}""" Find all files/directories under current directory and print them with newline as the delimiter,find -print | xargs -d'\n' Find all files/directories under current directory and set their permission to 775,find . -type f -exec chmod 775 {} \; Find all files/directories under current directory and sort them,find | sort Find all files/directories under current directory bypassing file hierarchies in lexicographical order,find -s "Find all files/directories under current directory excluding the paths that match the POSIX extended regex "".*def/incoming.*|.*456/incoming.*""","find . -regex-type posix-extended -regex "".*def/incoming.*|.*456/incoming.*"" -prune -o -print" Find all files/directories under current directory in maximum 3 levels deep,find -maxdepth 3 Find all files/directories under current directory matching the case insensitive pattern 'pattern',find -iname pattern Find all files/directories under current directory that are greater than 10MB in size,find . -size +10M Find all files/directories under current directory that match the case insensitive extended regex .*/(EA|FS)_.*,find -E . -iregex '.*/(EA|FS)_.*' "Find all files/directories under current directory that match the case insensitive glob pattern {EA,FS}_*","find . -iname ""{EA,FS}_*""" Find all files/directories under current directory that match the case insensitive regex .*/\(EA\|FS\)_.*,find . -iregex '.*/\(EA\|FS\)_.*' Find all files/directories under current directory that match the case insensitive regex ./\(RT\|ED\).* and show several lines of output from the beginning,find . -iregex './\(RT\|ED\).*' | head Find all files/directories under current directory that match the case insensitive regex ./\(EA\|FS\)_.*,find . -iregex './\(EA\|FS\)_.*' Find all files/directories under current directory tree,find | xargs Find all files/directories under current directory tree excluding hidden files/directories,find . -not -path '*/\.*' Find all files/directories under current directory tree that belong to user 'john',find . -user john Find all files/directories under current directory tree that belong to the user 'tom',find ./ -user tom Find all files/directories under current directory tree that contain 'pattern' in their names,"find -name ""*pattern*""" Find all files/directories under current directory tree that start with 'R' and end with 'VER' in their names and were modified more than 1 day ago,"find . -name ""R*VER"" -mtime +1" Find all files/directories under current directory tree that start with 'test' in their names without descending into directories with the same name pattern,find . -name 'test*' -prune Find all files/directories under current directory tree whose names start with 'some_text_2014.08.19',find . -name 'some_text_2014.08.19*' Find all files/directories under current directory tree whose names start with 'test' followed by two digits and end with '.txt' extension,"find . -regextype sed -regex ""./test[0-9]\{2\}.txt""" Find all files/directories under current directory tree whose paths match the regex 'filename-regex.\*\.html',find . -regex filename-regex.\*\.html Find all files/directories under current directory tree with '.old' extension,find . -name ”*.old” -print Find all files/directories under current directory tree with inode number 211028 and move them to 'newname.dir',find . -inum 211028 -exec mv {} newname.dir \; Find all files/directories under current directory which have read-write permission for owner and only read permission for group and others,find -perm -644 Find all files/directories under current directory with a Depth-First search,find dir -depth Find all files/directories under current directory with null character as the delimiter and then replace the null characters with :,"find -print0 | tr ""\0"" "":""" Find all files and directories under current directory without crossing over to other partitions,find . -xdev -print0 Find all files/directories under directory '.cache/chromium/Default/Cache/' which are bigger than 100MB and which are atleast 1 level deep and delete them,find .cache/chromium/Default/Cache/ -mindepth 1 -size +100M -delete Find all files/directories under test directory,find test Find all the files/directories under user's home directory that do not belong to the user $USER,find ~ ! -user ${USER} Find all files/directories under whatever and ... directory and copy them to /var/tmp,"find whatever ... | xargs -d ""\n"" cp -t /var/tmp" Find all files/directories which have been modified from the start of the day in directories/files taken from the glob pattern '/tmp/test/*',find /tmp/test/* -daystart -mtime -0 Find all files/directories which have been modified within the last day in the drectories/files taken from the glob pattern '/tmp/test/*',find /tmp/test/* -mtime -1 "Find all files and directories whose names end in "".rpm"", ignoring removable media, such as cdrom, floppy, etc.",find / -xdev -name \*.rpm Find all files/directories with '.bar' extension in maximum 2 levels down the current directory,find . -name *.bar -maxdepth 2 -print Find all files/directories with '.err' extension under '/home/username' directory tree,"find /home/username/ -name ""*.err""" Find all files/directories with '.js' extension under current directory tree excluding paths that contain the directory './directory',"find -name ""*.js"" -not -path ""./directory/*""" Find all files/directories with '.js' extension under current directory tree without descending into and ignoring './directory' completely,find . -not \( -path ./directory -prune \) -name \*.js Find all files/directories with '.log' extension that belong to the group 'adm' under '/var/log' directory tree,"find /var/log -group adm -name ""*.log""" "Find all files/directories with '.log' extension whose names start with 'app-', have been modified in the last 5 minutes and show the first one found",find /var/log/crashes -name app-\*\.log -mmin -5 -print | head -n 1 "Find all files/directories with '.mp4' extension and all regular files with '.flv' extension, sort them according to their names and display the first 500 of them","find /storage -name ""*.mp4"" -o -name ""*.flv"" -type f | sort | head -n500" Find all files/directories with '.o' extension under '/lib/modules' directory tree,find /lib/modules -name '*.o' "Find all files/directories with '.tar.gz' extension under $DIR/tmp/daily/ directory tree, sort them numerically and show the last 3 of them",find $DIR/tmp/daily/ -name '*.tar.gz' | sort -n | tail -3 Find all files/directories with '.txt' extension that are less than 100 KB in size under '/home' directory tree,"find /home -name ""*.txt"" -size -100k" Find all files/directories with '.txt' extension under '/home' directory tree that are exactly 100KB in size,"find /home -name ""*.txt"" -size 100k" Find all files/directories with '.txt' extension under '/home' directory tree that are greater than 100KB in size,"find /home -name ""*.txt"" -size +100k" Find all files/directories with '.what_to_find' extension under current directory tree and show the list by excluding paths that contain 'excludeddir1' and 'excludeddir2',find . -name '*.what_to_find' | grep -v exludeddir1 | grep -v excludeddir2 "Find all files/directories with '.xml' extension that start with 'log4j' in their names under '/cygdrive/e/MyDocs/Downloads/work/OATS Domain related/' directory tree, search for files that contain the string 'CONSOLE' in their contents, then search for the string 'ASYNC' in the matched files and display the matched lines along with their filenames","find ""/cygdrive/e/MyDocs/Downloads/work/OATS Domain related/"" -iname ""log4j*.xml"" | xargs -I % grep -ilr ""CONSOLE"" ""%"" | xargs -I % grep -H ""ASYNC"" %" Find all files/directories with 'my key phrase' in their names under current directory,find . -name '*my key phrase*' Find all files/directories with 644 permission in entire file system,find / -perm 644 Find all files/directories with 664 permission under current directory tree,find -perm 664 Find all files/directories with 755 permission under current directory tree,find ./ -perm 755 Find all files/directories with 777 permission under current directory tree,find . -perm 777 -print Find all files/directories with case insensitive name pattern $TARGET that are located in minimum 10 level down the current directory,find -mindepth 10 -iname $TARGET Find all files/directories with execute permission by group or others,find /path -perm /011 Find all files/directories with name pattern $nombre that are at most 2 levels down the $DIR_TEMPORAL and $DIR_DESCARGA directories and show only the file names (without parent path) appended with '.torrent',"find ""$DIR_TEMPORAL"" ""$DIR_DESCARGA"" -maxdepth 2 -name ""$nombre"" -printf '%f.torrent\n'" Find all files/directories with permission $permissions under $directory directory tree,"find ""$directory"" -perm ""$permissions""" Find all files and directories with permissions 664,find . -perm 664 Find all files/directories with space in their names under $1 directory,find $1 -name '* *' Find all files/directories with space in their names under /tmp/ directory and rename them by replacing all spaces with _,"find /tmp/ -depth -name ""* *"" -execdir rename "" "" ""_"" ""{}"" "";""" Find all files/directories with spaces in their names under ~/Library directory,find ~/Library -name '* *' Find all files beneath the current directory that end with the extension .java and contain the characters StringBuffer. Print the name of the file where a match is found.,"find . -type f -name ""*.java"" -exec grep -l StringBuffer {} \;" Find all files called wp-config.php in the /var/www directory and below,find /var/www/ -name wp-config.php "Find all files changed on the 29th of September, 2008, starting from the current directory",find . -type f -newerct 2008-09-29 ! -newerct 2008-09-30 find all files the current folder which have not been accessed in the last 7 days and which are bigger than 20KB,find . -atime +7 -size +20480 -print "find all the files ending with "".coffee"" in the current folder and search for the words ""re"" in each line",find . -name \*.coffee -exec grep -m1 -i 're' {} \; "find all the files ending with "".foo"" in the folder /usr",find /usr -name '*.foo' -print "find all the files ending with ""clj"" in the current folder and search for a pattern",find . -name '*.clj' -exec grep -r resources {} \; "find all the files ending with ""mkv"" in current folder","find -name ""*.mkv""" "find all the files ending with ""rb"" and display the first 10000 lines from these files.","find . -name ""*rb"" -print0 | xargs -0 head -10000" "find all the files ending with ""~"" in current folder and move them to temp folder",find -name '*~' -print0 | xargs -0 -I _ mv _ /tmp/ find all the files ending with .mp3 or .jpg,find . \( -name '*.mp3' -o -name '*.jpg' \) -print find all the files ending with jpg in current folder and display their count ( case insensitive ),find ./ -iname '*.jpg' -type f | wc -l Find all files except files with '.gz' extension in the current directory non-recursively and compress them with gzip,"find . -maxdepth 1 -type f ! -name '*.gz' -exec gzip ""{}"" \;" Find all files excluding *.gz files in the current directory tree and compress them with gzip,"find . -type f ! -name '*.gz' -exec gzip ""{}"" \;" Find all files files under the current directory except *.txt,find . -maxdepth 1 -type f -not -regex '.*\.txt' find all files having certain word in its name in the current folder,"find . -name ""*bsd*"" -print" "Findx all files having text ""texthere"" recursively in a current folder, and prints only file names with matching strings.","find -type f -exec grep -l ""texthere"" {} +" "Find all files in ""/home/"" which contain ""string1"", ""string2"" or the host name in its filename","find /home/ -type f -regextype posix-extended -regex "".*(string1|string2|$(hostname)).*""" "Finds all files in $LOCATION, prints file names, overwrite files with random content $TIMES times, and finally remove them.",find $LOCATION -print -exec shred $TIMES -u '{}' \; Find all files in $dir directory (non-recursive) and count them,"find ""$dir"" -maxdepth 1 -type f | wc -l" Find all files in the `sourceDir' directory,find sourceDir -mindepth 1 -maxdepth 1 Find all files in the `sourceDir' directory tree,find sourceDir -mindepth 1 "Find all files in the `work' directory tree, pass them to grep and search for ""profit""","find ./work -print | xargs grep ""profit""" Find all files in the /etc folder that have been modified within the last 30 days and copy them to /a/path/.,find /etc/ -mtime -30 | xargs -0 cp /a/path Find all files in the /home/ directory tree that were last accessed more than 7 days ago,find /home -atime +7 Find all files in the /home/ directory tree that were last modified less than 7 days ago,find /home -mtime -7 "find all the files in the /usr folder which have modification date less than or equal to the file ""/FirstFile""",find /usr ! -newer /FirstFile -print Find all files in /var/www/html/zip/data/*/*/*/*/* that are older than 90 days,find /var/www/html/zip/data/*/*/*/*/* -type f -mtime +90 Find all files in /var/www/html/zip/data/*/*/*/*/* that are older than 90 days and print only unique parent directory paths,"find /var/www/html/zip/data/*/*/*/*/* -type f -mtime +90 -printf ""%h\n"" | sort | uniq" Finds all files in a '/path' folder and prints long listing for them.,find /path -type f -exec ls -l \{\} \; Find all the files in the current directory,find * -type f -print -o -type d -prune "Find all files in the current directory and below with extension .php and replace ""php"" with ""html"" in their names","find ./ -type f -name ""*.php"" | xargs -r rename ""s/php/html/""" find all the files in the current directory and change the permissions to 775.,find . -exec chmod 775 {} \; find all the files in the current directory and display them,find . -exec echo {} ; Find all files in the current directory and its sub-directories that have been modified sometime in the last 24 hours.,find . -mtime -1 -prin find all the files in the current directory and print them excluding those that have the name SCCS.,find . -print -o -name SCCS -prune Find all files in current directory and search for 'searchName' in those files,"find ./ -name ""*"" | xargs grep ""searchName""" Find all files in current directory and search for 'searchName' in those files and show errors for files that are not directly on the current directory,"find ./ -name ""*"" -printf ""%f\n"" | xargs grep ""searchName""" find all files in the current directory and sub-directories that were accessed after modifying /etc/hosts,find -anewer /etc/hosts "find all the files in the current directory and sub-directories, that were edited within the last 1 hour and execute the list command with long listing format",find -mmin -60 -exec ls -l {} \; find all the files in the current directory and sub-directories whose status was changed after /etc/fstab was modified,find -cnewer /etc/fstab "find all the files in the current directory ending with "".i""","find . -name "".*\.i""" Find all files in current directory excluding hidden files and put the output into full_backup_dir variable,full_backup_dir=$(find . -depth '(' -wholename './.*' ')' -prune -o -print) find all files in the current directory excluding those that end with .js or have the words .min or console in their name,"find . -type f \( -name ""*.js"" ! -name ""*-min*"" ! -name ""*console*"" \)" find all files in the current directory do not display the files which do not have read permission to all users,"find . ! -perm -g+r,u+r,o+r -prune" find all the files in current directory of size exactly 6MB.,find . -size 6M find all the files in current directory of size greater than 2GB.,find . -size +2G Find all the files in the current directory recursively whose permissions are 644 and show the first 10 of them,find . -perm 0644 | head Find all the files in the current directory recursively whose permissions are 777,find . -type f -perm 0777 -print Find all the files in the current directory recursively whose permissions are not 777,find . -type f ! -perm 777 | head "Find all files in the current directory recursively with ""linkin park"" in their names and copy them to /Users/tommye/Desktop/LP, preserving path hierarchy","find . -type f -iname ""*linkin park*"" | cpio -pvdmu /Users/tommye/Desktop/LP" Find all files in current directory that were modified less than 1 day ago excluding hidden files and put the output to full_backup_dir variable,full_backup_dir=$(find . -depth \( -wholename \./\.\* \) -prune -o -mtime -1 -print) "find all the files in the current directory that have the word ""bash"" in their name","find . -name ""*bash*""" "Find all files in the current directory tree containing ""foo"" in their names",find . -print | grep -i foo "Find all files in the current directory tree except .html, ignoring .svn directories",find . \( -type d -name '.svn' -o -type f -name '*.html' \) -prune -o -print0 "Find all files in the current directory tree, except GIT files",find -type f -name .git -prune -o -print "Find all files in the current directory tree ignoring the "".git"" directory",find . -type d -name '.git*' -prune -o -type f -print Find all files in the current directory tree named 'FILES.EXT',"find . -name ""FILES.EXT""" Find all files in the current directory tree that are newer than some_file,find . -newer some_file Find all files in the current directory tree that are not newer than some_file,find . ! -newer some_file Find all files in the current directory tree that match pattern 'a(b*',find . -name 'a(b*' -print Find all files in the current directory tree that were last changed $minutes minutes ago,find . -cmin $minutes -print "Find all files in the current directory tree whose names are "".DS_STORE""","find . -name "".DS_STORE""" "Find all files in the current directory tree whose names are ""file_name"", except for those with pathnames matching pattern ""./dirt to be Excluded/*""","find ./ -iname file_name ! -path ""./dirt to be Excluded/*""" Find all files in the current directory tree whose names begin with '-',find . -name '[-]*' "Find all files in the current directory tree whose names end with the suffix "".keep.$1"", where $1 is the first command line argument, and remove that suffix","find . -type f -name ""*.keep.$1"" -print0 | xargs -0 rename ""s/\.keep\.$1$//""" Find all files in the current directory tree whose pathnames match pattern '*/1/lang/en.css',find . -path ‘*/1/lang/en.css’ -print "Find all files in the current directory tree whose size is greater than 1MB, and move them to the ""files"" folder",find . -size +1M -exec mv {} files \+ "Find all files in the current directory tree whose size is greater than 1MB, and move them to the ""files"" folder with confirmation",find . -size +1M -ok mv {} files \+ Find all files in the current directory tree with size bigger than 5 MB and sort them by size,find ./ -size +5M -type f | xargs -r ls -Ssh find all files in the current directory which are bigger than 2MB,find -size +2M find all files in the current directory which are bigger than 4MB,find . -size +4096k -print find all the files in the current directory which have been modified after a file,find . -newer file find all the files in the current directory which have been modified in the last 30 days and display the contents.,find . -atime +30 -exec ls \; find all the files in the current directory which have been modified in the last 6 days.,find . -atime +6 find all the files in the current directory which end with orig,find . -name '*.orig' -exec echo {} \ ; find all the files in the current directory which have the inode number 31246 and remove them.,find . -inum 31246 -exec rm [] ';' find all the files in the current directory which have the size 40 bytes in the current disk partition.,find . -size -40 -xdev -print find all the files in the current directory which start with t and have been modified between one hour (60 minutes) and 12 hours (720 minutes) ago.,"find . -mmin -720 -mmin +60 -type f -name ""t*"" -exec ls -l '{}' \;" find all files in the current directory whose size is 24 or 25 bytes.,find . -size -26c -size +23c -print Find all the files in the current directory with “linkin park” in their names,"find . -maxdepth 1 -iname ""*linkin park*""" Find all file in current directory with have .c extenstion & have 777 permission . delete then,"find . -name ""*.c"" -a -perm -777 | xargs rm -rf" "find all the files in the current directory with the name ""wagoneer"" which are in the current device.","find . -xdev -name ""wagoneer*"" -print" "Find all files in the current directory аргумент and its sub-directories with the optional constraints of опция_поиска, значение and/or значение.",find аргумент [опция_поиска] [значение] [значение] find all the files in the current folder (handles files which contain newlines or only spaces in their names),"find . -print0 | xargs -0 -l -i echo ""{}"";" find all the files in the current folder and display adding quotations to each file,"find . -exec echo -n '""{}"" ' \;" find all the files in the current folder and display adding quotations to each file and replace spaces with new line,"find $PWD -exec echo -n '""{}"" ' \; | tr '\n' ' '" find all files in the current folder and search for a word in them.,"find . -type f -exec grep ""applicationX"" {} \;" "find all the files in the current folder and search for the word ""vps admin"" in them.","find . -exec grep -i ""vds admin"" {} \;" "find all files in current folder having the name pattern ""some_pattern"" and move them to folder target_location (GNU VERSION)",find . -name some_pattern -print0 | xargs -0 -I % mv % target_location "find all files in current folder having the name pattern ""some_pattern"" and move them to the folder target_location (GNU VERSION)",find . -name some_pattern -print0 | xargs -0 -i mv {} target_location find all the files in the current folder that have a single letter in their name which have been modified in the last 3 days but not today,find . -name \? -daystart -mtime +0 -mtime -3 find all files in the current folder that are modified exactly 1 minute ago,find -mmin 1 -print find all files in the current folder that are modified exactly 2 minutes ago,find -mmin 2 -print find all files in the current folder that are not modified in the last 10 minutes,find . -mmin +10 -print find all files in the current folder that are not modified in the last 240 hours,find . -mtime +10 -print find all the files in the current folder that have been accessed in today,find -atime 0 find all the files in the current folder that have been accessed in today from the start of the day,find -daystart -atime 0 find all the files in the current folder that have been modified exactly 24*3 hours ago,find ./ -mtime 3 find all the files in the current folder that have been modified in the last 24*3 hours,find ./ -mtime -3 find all the files in the current folder that have been modified in the last 7 days,find -mtime -7 -daystart "find all files in the current folder that end with "",txt""","find . -name ""*,txt""" find all the files in the current folder that end with the word bar,find -name *bar find all the files in the current folder that have not been modified in the last 24*3 hours,find ./ -mtime +3 find all the files in the current folder which have a set uid set,find . -perm -4000 -print find all files in the current folder which are bigger than 10bytes,find . — size +10 -print find all files in the current folder which are bigger than 10MB and less than 50 MB,find . -size +10M -size -50M -print find all the files in the current folder which are bigger than 9MB,find . -size +9M find all the files in the current folder which are exactly 1234 bytes,find . -size 1234c find all files in current folder which are exactly 300MB,find . -size 300M find all files in current folder which are less than 300MB,find . -size -300M find all the files in the current folder which are smaller than 9MB,find . -size -9k find all the files in the current folder which are writable,find . -writable find all files in current folder which have been accessed exactly 10 minutes ago,find . -amin 10 find all files in the current folder which have been modified after /etc/passwd,find -newer /etc/passwd find all the files in the current folder which have been modified after the file disk.log,find . -newer disk.log -print find all the files in the current folder which have been modified in the 10 minutes ago,find -mmin +15 -mmin -25 find all files in the current folder which have been modified in the last 24 hours,find . -mtime -1 -print find all the files in the current folder which have been modified in the last 60 minutes,find . -mmin -60 "find all the files in the current folder which have been modified in the last 60 minutes, which are atleast 1 level deep and display a long listing of these files",find . -mindepth 1 -mmin -60 | xargs -r ls -ld find all the files in the current folder which have been modified in the last one day,find . -daystart -mtime -1 -ls find all the files in the current folder which have been modified in the last one minute,find . -type f -mmin 0 "find all the files in the current folder which end with ""ext1"" or ""ext2"" or ""ext3""","find -E . -regex "".*ext1|.*ext2|.*ext3""" find all the files in current folder which end with a speicifc regular expression and display their count,"find ./ -type f -regex "".*\.[JPGjpg]$"" | wc -l" find all files in the current folder which end with macs,find -name '*macs' find all the files in the current folder which have execute permission,find . -executable find all the files in the current folder which have execute permission to all the users,"find . -perm /u=x,g=x,o=x" find all the files in the current folder which have the name net or comm in them,find . -regex '.*\(net\|comm\).*' find all files in the current folder which have not been accessed in the last 7 days or which are bigger than 20KB,find . -atime +7 -o -size +20480 -print find all files in the current folder which have not been modified today and whose file name is of length 1,find . -name \? -mtime +0 find all the files in the current folder which do not belong to any user,find . -nouser -ls find all the files in the current folder which do not have the read permission,find . -type f ! -perm -444 find all files in the current folder which have only the write permission for the others,find . -perm -0002 -print find all the files in the current folder which have set guid bit on and list the top 10 files.,find . -perm /g+s | head find all files in the current folder which start with pro,find . -name pro\* find all the files in the current folder which have the word cache in them and do not search in the sub directories of the folder.,find . -name 'cache*' -depth -exec rm {} \; find all the files in the current folder whose name starts with 2 alphabets and ends with 2 digits.,"find . — name ""[a‑z][a‑z][0—9][0—9].txt"" — print" find all files in the current folder whose size is less than 50KB,find . -size -50k "find all the files in the current folder with the name ""test-a"" and move them to the folder test-10",find ~ -type f -name test-a -exec mv {} test-10 \; "find all the files in the current folder with the name ""test-a"" and move them to the folder test-10. execdir runs the command in the directory where the file is found.",find ~ -type f -name test-a -execdir mv {} test-10 \; "Find all files in the current user's home directory and its sub-directories with the optional constraints of опция_поиска, значение and/or опция_действия.",find ~/ [опция_поиска] [значение] [опция_действия] "Find all files in directory tree ""dirname""",find dirname -exec echo found {} \; find all the files in the directory which is pointed by $1 variable ending with the name held in the variable $2 or having the extension of value saved in the argument $2.,"find $1 \( -name ""*$2"" -o -name "".*$2"" \) -print" "find all the files in the entire file system excluding the folder proc, which do not belong to any user or any group",find / -path /proc -prune -o -nouser -o -nogroup find all the files in the entire file system starting with the word top,find / -name 'top?????*' find all the files in the entire file system that have been accessed exactly 50 days ago,find / -atime 50 find all the files in the entire file system that have been accessed in the last 60 days ago,find / -amin -60 find all the files in the entire file system that have been changed exactly 60 days and display ten files,find / -cmin -60 | head find all the files in the entire file system that have been modified between 50 to 100 days and display ten files,find / -mtime +50 -mtime -100 | head find all the files in the entire file system that have been modified exactly 50 days ago,find / -mtime 50 "find all the files in the entire file system that have been modified exactly 7 days before which end with ""conf""","find / -name ""*conf"" -mtime 7" find all the files in the entire file system that start with top,find / -name 'top*' find all the files in the entire file system that start with the word top and have 3 letters next to it.,find / -name 'top???' Find all the files in entire file system which are greater than 50MB and less than 100MB.,find / -size +50M -size -100M Find all files in entire file system which are larger than 20000KB,find / -type f -size +20000k find all the files in the entire file system which have been modified in the last 48 hours,find / -mtime -2 -print find all the files in the entire file system which have been modified in the last 5 days,find / -mtime -5 -print "find all the files in the entire file system which belong to the group ""staff""",find / -group staff -print "find all the files in the entire file system which belong to the user ""roger""",find / -user roger -print find all files in the entire file system whose size is more than 100MB,find / -size +100M "Find all the files in entire file system with the extensions txt or doc, as well as any file larger than 5MB in size",find / \( -name '*.txt' -o -name '*.doc' -o -size +5M \) find all the files in the entire filesystem which belong to the group root and display the ten files.,find / -group root | head find all the files in the entire filesystem which belong to the user root and display the ten files.,find / -user root | head find all files in etc which have been changed in the last 1 day,find /etc -daystart -ctime -1 find all files in etc which have been changed in the last 25 hours,find /etc -ctime -1 "find all files in the file system having the name ""filename""","find / -iname ""filename""" find all the files in the file system that belong to the user www,find / -user www -print "find all the files in the file system that start with ""win"" and searched only in the mounted file systems",find / -mount -name 'win*' find all the files in the file system which are bigger than 3 bytes,find / -size +3 -print Find all the files in file system which are modified in last 1 hour,find / -mmin -60 Find all the files in file system which are modified more than 50 days back and less than 100 days,find / -mtime +50 –mtime -100 find all the files in the file system which have been accessed in the last 1 day,find / -atime -1 find all files in the file system which have been accessed in the last 24 hours,find / -atime 0 find all the files in the file system which have been changed 1 minute ago.,find / -newerct '1 minute ago' -print find all the files in the file system which have been changed in the last 24 hours.,find / -ctime -1 find all the files in the file system whcih have been modified in the last 1 day,find / -mtime -1 find all the files in the file system which have been modified in the last 30*24 hours,find / -mtime -30 -print "find all the files in the file system which belong to the groep ""users"" and with the name ""dateiname""","find / -group users -iname ""Dateiname""" "find all files in the file system which belong to the group users and having the word ""filename"" in their name.","find / -group users -iname ""filename""" find all files in the file system which belong to no user or which have no user,find / -nouser "find all the files in the file system which belong to the user ""pat"" and with the name ""dateiname""","find / -user pat -iname ""Dateiname""" "find all files in the file system which belong to the user pat and having the word ""filename"" in their name.","find / -user pat -iname ""filename""" find all files in the file system which have no user and no group,find / -nouser -nogroup find all files in the file system which have not been accessed in the last 2 days,find / -atime +2 find all the files in the file system which have not been modified in the last 100*24 hours,find / -mtime +100 -print "find all the files in the file system which have the permission 777 and with the name ""dateiname""","find / -perm 777 -iname ""Dateiname""" find all the files in the file system which have read permission to the user and display the ten files,find / -perm /u=r | head find all files in the file system whose size is bigger than 3GB,find / -size +3G find all files in the file system whose size is exactly 2KB,find / -size 2048c "find all the files in the folder ""/mp3-collection"" which are bigger than 10MB excluding those that start with the word Metallica","find /mp3-collection -size +10000k ! -name ""Metallica*""" "find all the files in the folder ""/u/bill"" which have been accessed in the last 2-6 minutes",find /u/bill -amin +2 -amin -6 "find all the files in the folder ""/usr/app/etl/01/OTH/log/tra"" which have been modified in the last 240 hours excluding hidden files and those with the name ""/usr/app/etl/01/CLE/par/files_to_skip.par""","find /usr/app/etl/01/OTH/log/tra -type f ! -name "".*"" -mtime -10 | egrep -vf /usr/app/etl/01/CLE/par/files_to_skip.par" "find all the files in the folder ./machbook and change the owner of them to the user with id ""184""",find ./machbook -exec chown 184 {} \; find all the files in the folder .home/calvin which have been modified in th last 45 minutes,find /home/calvin/ -mmin -45 find all files in the folder /etc which have been modified after /tmp/foo,find /etc -newer /tmp/foo find all the files in the folder /home which are bigger than 10MB and smaller than 50 MB,find /home -size +10M -size -50M find all the files in the folder /opt which have been accessed exactly 20 days ago,find /opt -atime 20 find all the files in the folder /opt which have been changed in the last 120 minutes,find /opt -cmin -120 find all the files in the folder /opt which have been modified exactly 20 days ago,find /opt -mtime 20 find all the files in the folder /path/to/dir which have been modified after a specific date (Feb 07),find /path/to/dir -newermt “Feb 07” find all files in the folder /path/to/dir which have been modified between two dates,find /path/to/dir -newermt yyyy-mm-dd ! -newermt yyyy-mm-dd -ls "find all the files in the folder /work which belong to the user ""olivier""",find /work -user olivier -print find all the files in the folder Musica and display them in a single line null separated,find Música/* | egrep -Z \/\\. | xargs -0 echo "find all the files in the folder ~/Music which begin with ""Automatically Add""","find ~/Music/ -name ""Automatically Add*""" Find all files in your home directory and below that are larger than 100M.,find ~ -size +100M Find all files in your home directory and below that are smaller than 100M.,find ~ -size -100M Find all files in the home directory tree that are owned by another user and change their ownership to the current user,"find ~ ! -user $USER -exec sudo chown ${USER}:""{}"" \;" Find all files in the home directory with open permissions,find ~ -perm 777 find all the files in the home folder that are modified day before yesterday,find $HOME -mtime -2 -mtime +1 find all the files in the home folder which are bigger than 2MB and zip them,find ~ -size +2000000c -regex '.*[^gz]' -exec gzip '{}' ';' find all the files in the home folder which are less than 300Bytes,find ~ -size -300b find all the files in the home folder which are less than 42 Bytes,find / -size 42 find all files in the home folder which are modified in the last 2 days.,find ~ -type f -mtime -2 find all the files in the home folder which have been modified after a file,find $HOME -newer ~joeuser/lastbatch.txt find all files in home folder which have been modified after a timestamp,find ~ -newer /tmp/timestamp find all files in the home folder which have been modified between 72 and 96 hours before,find ~ -mtime 2 -mtime -4 -daystart find all the files in home folder which have been modified in the last 24 hours,find $HOME -mtime -1 find all the files in the home folder which have been modified today,find ~ -type f -mtime 0 "Find all files in the level 6 subdirecotries of /usr/src and below, ignoring CVS files",find /usr/src -name CVS -prune -o -mindepth +6 -print Find all files in maximum 1 level down the current directory that were modified less than 1 day ago,find -maxdepth 1 -type f -mtime -1 Find all files in maximum 1 level down the current directory that were modified less than 1 day ago from today,find -maxdepth 1 -type f -daystart -mtime -1 find all the files in the present directory which have the group staff and check if is a symbolic link and display it.,find `pwd` -group staff -exec find {} -type l -print ; Filnd all files in root directory with 777 permission and change permision 644 with chmod commad .,find / -type f -perm 777 -print -exec chmod 644 {} \; Find all files in ~/clang+llvm-3.3/bin/ and print 'basename /file/path' for each file,find ~/clang+llvm-3.3/bin/ -type f -exec echo basename {} \; "Find all files matching ""abc*"" in the current directory and append a column with ""OK""",find . -name 'abc*' -exec echo {}' OK' \; | column -t "Find all files matching the pattern ""${pattern}"" in their name and execute ${my_command} for each of them with the file path as argument","find ${directory} -name ""${pattern}"" -print0 | xargs -0 ${my_command}" Find all files matching pattern '.#*' in the current directory tree,find -iname '.#*' "Find all files matching shell pattern ""foo/bar"" in the foo directory tree",find foo -path foo/bar -print "Find all files modified on the 7th of June, 2007, starting from the current directory",find . -type f -newermt 2007-06-07 ! -newermt 2007-06-08 finds all files modified within a certain time frame recursively,"find . -type f -newermt ""2013-06-01"" \! -newermt ""2013-06-20""" Find all files more than 700 megabytes,find / -size +700M "Find all files named ""file.ext"" in the current directory tree and print the path names of the directories they are in","find . -name ""file.ext"" -execdir pwd ';'" "Find all files named ""file.ext"" within the current folder and print the path where each one is located","find `pwd` -name ""file.ext"" -exec dirname {} \;" "Find all files named ""filename""","find -name ""filename""" "Find all files named ""filename"" in the current directory tree, not descending into ""FOLDER1"" directories",find . '(' -name FOLDER1 -prune -o -name filename ')' -print "Find all files named ""foo_bar"" in the current directory recursively",find -name foo_bar "Find all files named ""something"" in the current folder and below and run them through the ls -l command in a one batch.",find . -name something | xargs -0 ls Find all files named 'Makefile' in the /usr/ports directory tree and count the number of lines in them beginning with USE_RC_SUBR,find /usr/ports/ -name Makefile -exec grep ^USE_RC_SUBR '{}' '+' | wc -l Find all files named 'Makefile' in the /usr/ports directory tree and count the number of lines in them matching regular expression '^MASTER_SITE.*CPAN',find /usr/ports/ -name Makefile -exec grep '^MASTER_SITE.*CPAN' '{}' '+' | wc -l Find all files named 'Makefile' in the /usr/ports directory tree and count the number of lines in them matching regular expression '^MASTER_SITE_SUBDIR.*\.\./.*authors',find /usr/ports/ -name Makefile -exec grep '^MASTER_SITE_SUBDIR.*\.\./.*authors' '{}' '+' | wc -l Find all files named 'file' in 1 level down the current directory whose status were changed more than 1 day ago,find . -maxdepth 1 -ctime +1 -name file Find all files named 'file' in 1 level down the current directory whose status were changed more than 1 hour ago,find . -maxdepth 1 -cmin +60 -name file Find all files named `file1' on the system,find / -name file1 Find all files named 'foo' under current directory tree without descending into directories named 'foo',find . -name foo -type d -prune -o -name foo -print Find all files named 'foo' under your home directory and list them with confirmation prompt,find ~ -type f -name 'foo*' -ok ls -l '{}' ';' find all files named `linux' on the system,find / -name linux Find all files named 'new' under current directory tree and display their contents,find . -name new -print -exec cat {} + Find all files named 'text.txt' under current directory tree and display their contents,find . -name 'text.txt' -print -exec cat {} \; Find all files newer than httpd.conf under and below the current directory,find . -newer httpd.conf "find all files not ending in "".html""","find . -type f -not -name ""*.html""" Find all files of the user with UID=1000,find -uid 1000 find all the files older than 30 days,find /tmp -mtime +30 -print Find all files on the system that are larger than 600 MB,find / -size +600M -print Find all files on the system that are world writeable,find / -perm -0002 Find all files on the system whose names are 'autoload.php',find / -name autoload.php Find all files on the system whose names are 'composer.json',find / -name composer.json Find all files on the system whose names are 'drush',find / -name drush Find all files owned by group `group2',find / -group group2 Find all files owned by group `root' in the current directory tree and change their user to `temp',find . -group root -print | xargs chown temp Find all files owned by user `comp',find / -user comp Find all files owned by the user daniel in the current directory and below.,find . -user daniel Find all files owned by user vivek,find / -user vivek "Find all file paths under current directory, perform a reverse sort and show first 10 file paths with their status change time","find . -type f -printf ""%C@ %p\n"" | sort -r | head -n 10" find all files read less than 1 minute ago,find . -amin -1 Find all the files recursively in directories or files taken from the glob pattern /tmp/test/* that have been modified today,find /tmp/test/* -mtime -0 Find all files recursively starting from / that have been modified in the past 30 minutes and list them,find / -mmin -30 -ls "Find all files recursively which end in "".php""","find . -name ""*.php"" -print" Find all files residing in /home/dm/Video or below that were changed less than 7 days ago,find /home/dm/Video -mtime -7 Find all files residing in /home/dm/Video or below that were last changed at least 10 days ago,find /home/dm/Video -mtime +10 "Find all files, starting from / but ignoring removable media, whose names end with "".rpm""","find / -xdev -name ""*.rpm""" Find all files starting from the current directory which are exactly 100MB in size,find . -size 100M Find all files starting from the current directory which are larger than 100MB,find . -size +100M Find all files starting from the current directory which are smaller than 100MB,find . -size -100M find all files starting with capital letter in the current folder,"find . — name ""[A‑Z]*"" — print" find all the fles that have .ssh in the end and redirect the output to ssh-stuff,find / -name .ssh* -print | tee -a ssh-stuff Find all files that have additional permissions,find / -perm -644 find all the files that are modified exactly one day ago,find -daystart -mtime 1 Find all files that are modified in last 3 days,find . -type f -mtime -3 find all the files that are modified in the last 7 days,find -daystart -mtime -7 find all the files that are not modified in the last 7 days,find -daystart -mtime +7 "Find all the files that are not named ""MyCProgram.c"" in the current directory only and without regards to case.","find -maxdepth 1 -not -iname ""MyCProgram.c""" find all files that are readable and writable by their owner,find . -perm -600 -print find all files that are readable or writable by their owner,find . -perm +600 -print Find all files that are set group ID to 10,find . -group 10 -perm -2000 -print Find all files that are set group ID to staff,find . -group staff -perm -2000 -print Find all files that are set user ID to root,find . -user 0 -perm -4000 -print Find all files that aren't owned by user www-data,find -not -user www-data find all the files that have been changed exactly 24 hours ago,find . -ctime 1 -type f find all the files that have been modified exactly 1 day ago,find -mtime 1 find all the files that have been modified exactly 2 days ago,find -mtime 2 find all the files that have been modified exactly 24 hours ago,find . -type f -mtime 1 find all the file that have been modified exactly 3 days ago ( considers day starting not 24 hours ),find ./ -daystart -mtime -3 find all the files that have been modified exactly yesterday (from 00:00 to 23:59 yesterday),find . -type f -daystart -mtime 1 find all the files that have been modified in exactly 7*24 hours ago,find . -mtime 7 find all the files that have been modified in the last 1 day,find . -type f -daystart -mtime -1 find all the files that have been modified in the last 1 day ago,find -mtime -1 find all the files that have been modified in the last 12 hours,find ./ -mtime -0.5 find all the files that have been modified in the last 2 day,find -daystart -mitime -1 find all the files that have been modified in the last 2 days,find . -type f -daystart -mtime -2 find all the files that have been modified in the last 24 hours,find . -type f -mtime -1 "find all the files that have been modified in the last 4 days ( daystart is used to check files according to date i.e, all files modified from currentDay-4 00:00:00 to current day) and copy them to folder.",find . -mtime 4 -daystart -exec cp -a {} /home/devnet/fileshare\$ on\ X.X.X.X/RECOVER/ \; find all the files that have been modified in the last 60 minutes,find -mmin -60 Find all files that have been modified in the last seven days.,find . -mtime -7 -type f "find all the files that have been modified in the last 7 days,",find . -mtime -7 -print find all the files that have been modified since the last time we checked,find /etc -newer /var/log/backup.timestamp -print find all the files that have been modified today,find . -type f -mtime 0 find all the files that have been modified today(from the strart of the day),find . -type f -daystart -mtime 0 Find all files that belong to group root,find / -group root find all files that belong to root user,find . -uid 0 -print Find all files that belongs to user Tecmint under /home directory,find /home -user tecmint Find all files that have either a .php or a .js extension,find -regextype posix-egrep -regex '.*(php|js)$' "find all files that match ""[a-f0-9\-]{36}\.jpg"" of grep","find . * | grep -P ""[a-f0-9\-]{36}\.jpg""" find all files that names are 'apt' and display detailed list,"find / -name ""apt"" -ls" find all files that names are game,find / -name game find all the files that have not been modified in the last 2 days,find -mtime +2 find all the files that have not been modified in the last 24 hours,find /tmp/test/* -mtime +1 find all the file that have not been modified in the last 3 days ( considers day starting not 24 hours ),find ./ -daystart -mtime +3 find all the files that were modified two days ago,find . -daystart -ctime 1 -type f find all files that were modified between 90 to 100 days ago in home directory and delete then .,find /home -type f -mtime +90 -mtime -100 -exec rm {} \; Find all the files that were modified exactly one day ago,find . -mtime 1 Find all the files that were modified more than one day ago,find . -mtime +1 find all the files that were modified yesterday in the current directory.,find . -daystart -ctime 0 -type f Find all files that were not accessed in the past 100 days,find /home -atime +100 "find all files under ""/usr""",find /usr -print Find all files under $1 directory excluding hidden files and append a null character at the end of each of their paths,"find ""$1"" -path ""*/.*"" -prune -o \( -type f -print0 \)" Find all files under $1 not matching the regex '.*/\..*' and execute hashmove on each of them with the file path as its argument,find $1 -type f -not -regex '.*/\..*' -exec $0 hashmove '{}' \; Find all files under $YOUR_DIR,find $YOUR_DIR -type f Find all files under $dir,"find ""$dir"" -type f" Find all files under $root_dir,find $root_dir -type f Find all files under $source_dir that match the regex expanded by $input_file_type in their paths,"find ""$source_dir"" -type f|egrep ""$input_file_type""" Find all files under $x directory and set read-write permission for owner and group and no permission for other for those files,"find ${x} -type f -exec chmod ug=rw,o= '{}' \;" Find all files under ${searchpath} that match the regex ${string1}.*${string2}.*${string3} in their contents where ${string1} etc.. will be expanded,"find ""${searchpath}"" -type f -print0 | xargs -0 grep -l -E ""${string1}"".*""${string2}"".*""${string3}""" find all the files under '/usr/local' directory tree which have been modified exactly 24 hours ago,find /usr/local -mtime 1 Find all files under ./lib/app and sort them,find ./lib/app -type f | sort find all files under the /etc directory and display IP address patterns in them,find /etc -type f -exec cat '{}' \; | tr -c '.[:digit:]' '\n' \ | grep '^[^.][^.]*\.[^.][^.]*\.[^.][^.]*\.[^.][^.]*$' Find all the files under /etc directory which are larger than 100k,find /etc -size +100k Find all the files under /home directory with name tecmint.txt,find /home -name tecmint.txt Find all files under /home/feeds/data without descending into *def/incoming* and *456/incoming* paths,"find /home/feeds/data -type f -not -path ""*def/incoming*"" -not -path ""*456/incoming*""" Find all files under /home/mywebsite/public_html/sites/all/modules and set their permission to 640,find /home/mywebsite/public_html/sites/all/modules -type f -exec chmod 640 {} + Find all files under /home/username/public_html/modules and set their permission to 640,find /home/username/public_html/modules -type f -exec chmod 640 {} + Find all files under /home/username/public_html/sites/all/modules and set their permission to 640,find /home/username/public_html/sites/all/modules -type f -exec chmod 640 {} + Find all files under /home/username/public_html/sites/all/themes and set their permission to 640,find /home/username/public_html/sites/all/themes -type f -exec chmod 640 {} + Find all files under /home/username/public_html/sites/default/files and set their permission to 660,find /home/username/public_html/sites/default/files -type f -exec chmod 660 {} + Find all files under /home/username/public_html/themes and set their permission to 640,find /home/username/public_html/themes -type f -exec chmod 640 {} + Find all files under /mnt/naspath directory without descending into .snapshot directory that were modified in last 24 hours with null character as the delimiter,find /mnt/naspath -name .snapshot -prune -o \( -type f -mtime 0 -print0 \) Find all files under /mountpoint and below which have hard links,find /mountpoint -type f -links +1 Find all files under /myfiles with 647 permission,find /myfiles -type f -perm -647 Find all files under /myfiles with read-write access for others,find /myfiles -type f -perm -o+rw Find all files under /path and below writable by `group' or `other',"find /path -perm /g+w,o+w" Find all files under /path/to/Dir and set their permission to 644,sudo find /path/to/Dir -type f -print0 | xargs -0 sudo chmod 644 Find all files under /path/to/base/dir and change their permission to 644,chmod 644 $(find /path/to/base/dir -type f) Find all files under /path/to/dir and change their permission to 644,find /path/to/dir -type f -exec chmod 644 {} + Find all files under /path/to/dir that were modified less than 7 days ago with null character as the delimiter,find /path/to/dir -type f -mtime -7 -print0 Find all files under /path/to/input/ that match the case insensitive string literal 'spammer@spammy.com' in their contents,find /path/to/input/ -type f -exec grep -qiF spammer@spammy.com \{\} \; -print Find all files under /somefolder matching the case insensitive regex '\(.*error.*\)\|\(^second.*\log$\)\|\(.*FFPC\.log$\)' in their paths,find /somefolder -type f | grep -i '\(.*error.*\)\|\(^second.*\log$\)\|\(.*FFPC\.log$\)' Find all files under /somefolder matching the extended case insensitive regex '\./(.*\.error.*|second.*log|.*FFPC\.log)$' in their paths,find -E /somefolder -type f -iregex '\./(.*\.error.*|second.*log|.*FFPC\.log)$' Find all files under /somefolder matching the pattern expanded by $FILE_PATTERN in thier names,find /somefolder -type f -name $FILE_PATTERN Find all files under /var/www directory and set their permission to 644,sudo find /var/www -type f -print0 | xargs -0 chmod 644 Find all files under and below the current working directory with the word California in the file,find . -type f -exec grep California {} \; -print Find all files under current directory and append a null character at the end of each of their paths,find -type f -print0 Find all files under current directory and change their permission to 400,find . -type f -exec chmod 400 {} \; Find all files under current directory and change their permission to 600,find . -type f -exec chmod 600 {} \; "Find all files under the current directory and copy their permissions to the same file in ""../version1""",find . -type f | xargs -I {} chmod --reference {} ../version1/{} "Find all files under current directory and make them read-only for owner, read & writable by group and remove read-write-execute permission","find . -type f -exec chmod u+r-wx,g+rw-x,o-rwx {} \;" Find all files under current directory and print them appending a null character at the end of each file paths,find . -type f -print0 Find all files under current directory and search for 'something' in those files,find . -exec grep something {} + Find all files under current directory and set their permission to 775,find -type f | xargs chmod 775 Find all files under current directory and show their file information,find . -type f -exec file {} \; Find all flies under current directory excluding *.png files and print the file paths (with match count) that match the case insensitive regex 'foo=' in their contents,"find . -not -name '*.png' -o -type f -print | xargs grep -icl ""foo=""" Find all files under current directory excluding hidden directories,find -name '.?*' -prune -o \( -type f -print0 \) Find all files under current directory excluding hidden files,find . -depth -path './.*' -prune -o -print "find all files under the current directory, filtering the output through a regular expression to find any lines that contain the word foo or bar.",find ./ | grep -E 'foo|bar' "Find all files under current directory matching either of the patterns 'error.[0-9]*', 'access.[0-9]*', 'error_log.[0-9]*', 'access_log.[0-9]*', 'mod_jk.log.[0-9]*' in their names",find -type f -name 'error.[0-9]*' -o -name 'access.[0-9]*' -o -name 'error_log.[0-9]*' -o -name 'access_log.[0-9]*' -o -name 'mod_jk.log.[0-9]*' "Find all files under current directory matching the pattern '[error,access,error_log,access_log,mod_jk.log]*.[0-9]*' in their names","find -name '[error,access,error_log,access_log,mod_jk.log]*.[0-9]*' -type f" Find all files under current directory matching the posix-egrep type regex '^.*/[a-z][^/]*$' in their names,find . -regextype posix-egrep -regex '^.*/[a-z][^/]*$' -type f Find all files under current directory matching the regex '.*\(\(error\|access\)\(_log\)?\|mod_jk\.log\)\.[0-9]+' in their paths,find -type f -regex '.*\(\(error\|access\)\(_log\)?\|mod_jk\.log\)\.[0-9]+' "Find all files under the current directory that are not the same file as ""/home/nez/file.txt""",find . -maxdepth 1 -not -samefile /home/nez/file.txt Find all files under current directory that were modified in the last 24 hours and also include the files that were modified in less than 1 day ago,find -daystart -mtime +0 Find all files under current directory that were modified more than 1 day ago,find -mtime +1 Find all files under current directory tree named 'filename_regex' excluding '.svn' and '.pdv' directories and files then search for the case insensitive pattern 'your search string' in those files,"find . -name ""filename_regex""|grep -v '.svn' -v '.pdv'|xargs grep -i 'your search string'" "Find all files under current directory whose file type description contains ""image"", display only path to each file.",find . -type f -exec file {} \; | grep -o -P '^.+: \w+ image' "Find all files under current directory whose file type description contains ""image"", display the paths to files and file type descriptions.",find . -name '*' -exec file {} \; | grep -o -P '^.+: \w+ image' "Find all files under the current directory whose pathnames do not end with ""Video"", ignoring the case",find . -maxdepth 1 -not -iwholename '*Video' "Find all files under current directory with their size and paths, reverse sort them numerically, then print first 4 entries","find -type f -printf ""%s %p\n"" | sort -nr | head -n 4" Find all files under current directory without descending into .snapshot directory that were modified in last 24 hours with null character as the delimiter,find . -name .snapshot -prune -o \( -type f -mtime 0 -print0 \) find all files under the current folder except dir1 dir2 dir3 folder,find . -type d \( -path dir1 -o -path dir2 -o -path dir3 \) -prune -o -print Find all files under directory tree /path/to/dir whose permissions are not 644,find /path/to/dir ! -perm 0644 Find all files under foldername directory and set their permission to 644,"sudo find foldername -type f -exec chmod 644 {} "";""" Find all files under images directory,find images -type f Find all files under minimum 1 level down the current directory,find . -mindepth 1 -type f Find all files under path_to_dir,find path_to_dir -type f Find all files under var/ directory and change their permission to 600,find var/ -type f -exec chmod 600 {} \; Find all files which begin with 'a' or 'b' from current directory downwards and print them.,find . -name [ab]* -print Find all files which belong to user lal and change their ownership to ravi,find / -user lal -exec chown ravi {} \; "find all the files which end with "".deb"" and display their base name (strip the extension)",find . -name '*.deb' -exec basename {} \; Find all file which have more the 2 hard link,find . -type f -links +2 -exec ls -lrt {} \; find all files which name contain 'foo' and path is not dir1 or dir2,"find ! -path ""dir1"" ! -path ""dir2"" -name ""*foo*""" find all the file which name (name can contains space) end with c or h and content contain 'thing',find . -name '*.[ch]' -print0 | xargs -r -0 grep -l thing find all the file which name end with c or h and content contain 'thing',find . -name '*.[ch]' | xargs grep -l thing "find all the files which start with the name ""Metallica"" in the folder ""/mp3-collection"" and which are bigger than 10MB",find /mp3-collection -name 'Metallica*' -and -size +10000k find all the files which have the write permission to the group and remove the write permission.,find . -perm -20 -exec chmod g-w {} ; Find all files whose names begin with 'Makefile' at the /usr/ports directory tree's level 3 and count the number of lines with NOPORTDOCS or NOPORTEXAMPLES in them.,"find /usr/ports/ -name Makefile\* -mindepth 3 -maxdepth 3 -exec egrep ""NOPORTDOCS|NOPORTEXAMPLES"" '{}' '+' | wc -l" Find all files whose names begin with 'Makefile' in the /usr/ports directory tree and count how many of them contain 'QMAKESPEC',find /usr/ports/ -name Makefile\* -exec grep -l QMAKESPEC '{}' '+' | wc -l Find all files whose names begin with 'Makefile' in the /usr/ports directory tree and count how many of them contain 'QTDIR',find /usr/ports/ -name Makefile\* -exec grep -l QTDIR '{}' '+' | wc -l "Find all files whose names end with ""~"" in the /home/peter directory tree, following symlinks, and delete them",find -L /home/peter -name *~ -exec rm '{}' + Find all the files whose name is tecmint.txt and contains both capital and small letters in /home directory,find /home -iname tecmint.txt Find all the files whose name is tecmint.txt in the current directory,find . -name tecmint.txt "Find all files whose names do not begin with ""zsh"" on ext3 file systems",find / -fstype ext3 -name zsh* "Find all files whose name or type description includes ""text"", display only paths to files.",find . -exec file {} \; | grep text | cut -d: -f1 Find all files with '.db' extension (case insensitive) that belong to user 'exampleuser' and were modified exactly 7 days ago under '/home' directory tree,"find /home -user exampleuser -mtime 7 -iname "".db""" Find all files with '.jpg' extension in the current directory ignoring sub-directories and archive them to a file named jpeg.tgz,"find . -maxdepth 1 -iname ""*.jpg"" | xargs tar -czvf jpeg.tgz" Find all files with '.txt' (case insensitive) extension under $dir directory non-recursively and sort them numerically,"find ""$dir"" -maxdepth 1 -type f -iname '*.txt' | sort -n" Find all files with 644 permission and change the permission to 664,find . -type f -perm 644 -exec chmod 664 {} \; Find all files with extension .aac in the /home directory tree,find /home -type f -name '*.aac' find all files with the first letter “e” or “f” and last one x in /usr/bin directory:,find /usr/bin -name [ef]*x "Find all files with the name ""MyProgram.c"" in the current directory and all of it's sub-directories.","find -name ""MyCProgram.c""" "find all the files with the name ""datainame"" in the file system which are bigger than 50MB","find / -size +50M -iname ""Dateiname""" "Find all files with name ""file.ext"" under the current working directory tree and print each full path directory name",find `pwd` -name file.ext |xargs -l1 dirname find all the files with the name september ( case insensitive ),find -iname september find all files with pattern` '*.mp3' and send output into nameoffiletoprintto file,find / -name *.mp3 -fprint nameoffiletoprintto Find all files with space in their names under current directory,find . -type f -name '* *' find all the files within your home folder accessed more than 100 days ago,find ~ -atime 100 find all files without 777 permision,find / -type f ! perm 777 Find all the files without permission 777,find / -type f ! -perm 777 Find all file.ext files/directories under /home/kibab directory and print . for each of them,find /home/kibab -name file.ext -exec echo . ';' Find all file.ext files/directories under present working directory and print . for each of them,"find `pwd` -name ""file.ext"" -exec echo $(dirname {}) \;" Find all file1 and file9 files/directories under current directory,find . -name file1 -or -name file9 Find all filenames ending with .c in the /usr directory tree,"find /usr -name ""*.c""" Find all filenames ending with .c in the current directory tree,"find -name ""*.c""" "Find all filenames ending with .c in the current directory tree, case insensitive","find -iname ""*.c""" Find all filename.* files/directories under /root/directory/to/search,find /root/directory/to/search -name 'filename.*' Find all files/directoires that were modified more than 3 days ago under $dir directory tree,find $dir -mtime +3 Find all files/directores that are newer than /etc/motd and conain the string 'top' at the beginning of their names under user's home directory tree,find ~ -name 'top*' -newer /etc/motd Find all files/directores under '/usr/local' directory tree that contain the word 'blast' in their names,"find /usr/local -name ""*blast*""" find all the findme.txt files in the file system,find / -name findme.txt -type f -print Find all first occurrences of directories named '.texturedata' under '/path/to/look/in' directory tree,find /path/to/look/in/ -type d -name '.texturedata' -prune Finds all folders that contain 'ssh' file and have 'bin' in path.,dirname `find / -name ssh | grep bin` Find all foo.mp4 files in the current directory tree and print the pathnames of their parent directories,find . -name foo.mp4 -exec dirname {} \; find all gif files in the file system,"find / -name ""*gif"" -print" Find all hard links to file /path/to/file that exist under the current directory tree,find . -samefile /path/to/file Find all hard links to file1 under /home directory,find /home -xdev -samefile file1 find all headers file *.h in /nas/projects directory,"find /nas/projects -name ""*.h""" "find all the header files in /usr/include which have been modified in the last 399 days and display the number of lines, number of files, number of characters of all these files",find usr/include -name '*.h' -mtime -399 | wc Find all hidden directories starting from the current directory,"find . -type d -name "".*""" finda all the hidden files excluding those having the extension htaccess,"find . -type f \( -iname "".*"" ! -iname "".htaccess"" \)" find all hidden files in the current folder which have been modified after profile file,"find . -type f -name "".*"" -newer .cshrc -print" Find all hidden files starting from the directory given as variable $FOLDER,"find $FOLDER -name "".*""" Find all hidden (regular) files under /tmp,"find /tmp -type f -name "".*""" find all the html files in the current folder,"find . -name ""*.html""" find all the html files in the current folder and rename them to .var files,find -name '*.html' -print0 | xargs -0 rename 's/\.html$/.var/' Find all HTML files starting with letter 'a' in the current directory and below,find . -name a\*.html Find all HTML files starting with letter 'a' in the current directory and below ignoring the case,find . -iname a\*.html find all the html files that are acces in the last 24 hours in the current folder,"find . -mtime 1 -name ""*.html"" -print" find all the html files which are modified in the last 7 days,"find . -mtime -7 -name ""*.html""" "find all the html, javascript and text files in the current folder","find . -type f -name ""*.htm*"" -o -name ""*.js*"" -o -name ""*.txt""" find all html or cgi files in current folder,"find ./ -type f -iregex "".*\.html$"" -or -iregex "".*\.cgi$""" Find all httpd.conf files in entire file system,find / -name httpd.conf Find all image.pdf files/directories under ./polkadots,find ./polkadots -name 'image.pdf' Find all image.pdf files/directories under ./polkadots with null character as the delimiter,"find ./polkadots -name ""image.pdf"" -print0" Find all image.pdf files under ./polkadots,"find ./polkadots -type f -name ""image.pdf""" Find all index.* files/directories under current directory,find -name 'index.*' find all java files in the current folder and search for the pattern REGEX,find . -name '*.java' -exec grep REGEX {} \; find all the javascript files in current folder using regular expressions,find . -regex '.+\.js' find all javascript files under the current folder,find . -name '*.js' find all jpg files in current folder,"find . -type f -name ""*.JPG""" find all jpg files in the current folder,"find . -name ""*.jpg""" find all the jpg files in the directory /ftp/dir which are bigger than 500KB,"find /ftp/dir/ -size +500k -iname ""*.jpg""" "find all jpg,png,jpeg,pdf,tif,tiff,bmp and other image formats using regular expressions excluding those ending with ""_ocr.pdf""","find /somepath -type f -iregex "".*\.(pdf\|tif\|tiff\|png\|jpg\|jpeg\|bmp\|pcx\|dcx)"" ! -name ""*_ocr.pdf"" -print0" find all js files under the build direcotry except build/external and build/log directory.,find build -not \( -path build/external -prune \) -not \( -path build/blog -prune \) -name \*.js find all js files under the build direcotry except build/external directory.,find build -not \( -path build/external -prune \) -name \*.js find all js files which path neither ./dir1 nor ./dir2,"find . -name '*.js' -not \( -path ""./dir1"" -o -path ""./dir2/*"" \)" "find all js files which path does not contain ./node_modules/* nor './vendor/*""",find -name '*.js' -not -path './node_modules/*' -not -path './vendor/*' "Find all leaf directories that include only one occurrence of ""modules""",find -regex '.*/modules\(/.*\|$\)' \! -regex '.*/modules/.*/modules\(/.*\|$\)' -type d -links 2 "Find all lines matching ""$USER"" in ""file"" and number the output",grep $USER file |nl find all the links in the current folder and following it to the pointed path,find -L /target -type l find all the links in the current folder which are broken,find /target -type l -xtype l find all the links in somedirectory and print them in a single line (to avoid the problem of files having newline in their names),"find ""somedir"" -type l -print0" Find all links pointing to /path/to/foo.txt,find . -lname /path/to/foo.txt Find all links to path/to/file,find -L -samefile path/to/file "(Linux specific) Find all loadable modules for current kernel, whose name includes ""perf""",find /lib/modules/`uname -r` -regex .*perf.* find all the log files in the file system,"find / -name ""*.log""" find all log files larger then 100MB in /home directory and delete them .,find /home -type f -name *.log -size +100M -exec rm -f {} \; "Finds all the log* files in /myDir recursively that are more than 7 days older, skipping already created .bz2 archives and compresses them.",find /myDir -name 'log*' -and -not -name '*.bz2' -ctime +7 -exec bzip2 -zv {} \; Finds all the log* files recursively in /myDir that are more than 7 days older and compresses them.,"find /myDir -name ""log*"" -ctime +7 -exec bzip2 -zv {} \;" Find all Makefile's in the current directory tree,"find -type f -name ""Makefile""" Find all Makefile's in the current directory tree and look for line 235 in each of them,find . -name Makefile -print0 | xargs -0 grep -nH $ | grep :235: find all the mp3 files in the current folder and move them to another folder,"find . -name ""*.mp3"" -exec mv {} ""/Users/sir/Music//iTunes/iTunes Media/Automatically Add to iTunes.localized/"" \;" find all the mp3 files in the file system,"find / -iname ""*.mp3"" -print" Find all MP3 files in the home directory tree that were modified in the last 24 hours,find ~ -type f -mtime 0 -iname '*.mp3' Find all MP3s in the /home directory tree,find /home -type f -name '*.mp3' Find all mysong.ogg files/directories under your home directory,find $HOME -name 'mysong.ogg' find all the normal files in the home directory which have been accesed in the last 30 days with the size greater than or equal to 100k.,find $HOME -type f -atime +30 -size 100k Find all of the character devices on the system,find / -type c Find all of jzb's files,find -user jzb Find all or single file called tecmint.txt under the / directory of owner root,find / -user root -name tecmint.txt Find all orm* files/directories under current directory,find . -name 'orm*' Find all orm.* files/directories under current directory,"find . -name ""orm.*""" Find all pdf files excluding *_signed.pdf files under /some/dir with null character as the delimiter,"find /some/dir -name ""*.pdf"" ! -name ""*_signed.pdf"" -print0" find all pdf files in the current folder,find . -name “*.pdf” -print Find all pdf files under /dir/containing/unsigned with null character as the delimiter,find /dir/containing/unsigned -name '*.pdf' -print0 find all PDFs owned by user “seamstress”,find / -user seamstress -iname “*.pdf” find all the perl files in the current folder,"find . -type f -name ""*.pl""" "find all the perl files in the current folder, print0 is used to handle files with new lines in their names or only spaces","find . -type f -name ""*.pl"" -print0" Find all PHP files in the current directory recursively,find . -name \*.php -type f find all the php files in the current folder,find . -name \*.php find all the php files in current folder and search for multiple patterns in these files,"find -name '*.php' -exec grep -li ""fincken"" {} + | xargs grep -l ""TODO""" find all the php files in current folder and search for multiple patterns in these files and display the file names,"find -name '*.php' -exec grep -in ""fincken"" {} + | grep TODO | cut -d: -f1 | uniq" find all the php files in current folder using regular expressions,find . -regex '.+\.php' Find all php files that belong to user 'takuya' and have been modified in the last 1 day,find -user takuya -name '*.php' -daystart -mtime -1 Find all PHP files under current directory,find . -type f -name *.php Find all PHP files under current directory that contain only one line,"find . -type f -name '*.php' -exec wc -l {} \; | egrep ""^\s*1\s""" Find all php files whose name is tecmint.php in a current working directory,find . -type f -name tecmint.php find all the php/javascript files in current folder using regular expressions,find . -regex '.+\.\(php|js\)' find all png files in the current folder,find . -type f -name '*.png' find all the png files in the current folder which are present in the pattern list search .txt,find . -name '*.png' | grep -f search.txt Find all python files (.py files) in $topdir directory tree and search for 'Makefile' in all these folders and display all distinct folders having 'Makefile',"find ""$topdir"" -name '*.py' -printf '%h\0' | xargs -0 -I {} find {} -mindepth 1 -maxdepth 1 -name Makefile -printf '%h\n' | sort -u" "Find all python files under current directory tree, save the list to 'output.txt' and search for 'something' in those files",find . -name '*.py' | tee output.txt | xargs grep 'something' find all raw images in the current folder and pass them one at a time to the xargs command and enable parallel processing of the files,find . -type f -iname '*.CR2' -print0 | xargs -0 -n 1 -P 8 -I {} find all read me files in a folder,find /usr/share/doc -name README Find all Read Only files,find / -perm /u=r Find all read only files in /home directory,find /home -type f -perm /u=r "find all regex "".*/[a-f0-9\-]\{36\}\.jpg"" files","find . -regextype sed -regex "".*/[a-f0-9\-]\{36\}\.jpg""" "find all regex ""./[a-f0-9\-]\{36\}\.jpg"" files","find . -regex ""./[a-f0-9\-]\{36\}\.jpg""" find all regex '\./[a-f0-9\-]\{36\}\.jpg' files,find . -regex '\./[a-f0-9\-]\{36\}\.jpg' Find all regular *.css files,"find . -type f -name ""*.css""" Find all regular files 1 level down the $dir directory,find $dir -maxdepth 1 -type f find all regular file and create jw-htmlfiles.tar,"find . -type f -name ""*html"" | xargs tar cvf jw-htmlfiles.tar -" find all regular files then display the number of occurrences of banana without lines not proper end,"find . -type f -print0| xargs -0 grep -c banana| grep -v "":0$""" find all regular files exclude .o and exclude *.swp and output line number of soc_attach if it has,"find . \( ! -path ""./output/*"" \) -a \( -type f \) -a \( ! -name '*.o' \) -a \( ! -name '*.swp' \) | xargs grep -n soc_attach" "Find all regular files in the ""aaa"" directory",find aaa/ -maxdepth 1 -type f Find all the regular files in $DIR directory tree which have not been modified in the last 15 days and delete them,"find ""$DIR"" -type f -mtime +15 -exec rm {} \;" Find all the regular files in $DIR directory tree which have not been modified in the last 450 days and delete them,find $DIR -type f -mtime +450 -exec rm {} \; find all the normal/regular files in /etc/sysconfig which have been accesses in the last 30 minutes,find /etc/sysconfig -amin -30 -type f find all the regular/normal files in the /path folder and delete them,find /path -type f -delete Find all regular files in /usr/bin accessed more than 20 days ago,find /usr/bin -type f -atime +20 Find all regular files in /usr/bin modified less than within the last 10 days,find /usr/bin -type f -mtime -10 find all the regular/normal files in all the directories in the /some/dir and delete them,find /some/dir -type d -exec find {} -type f -delete \; Find all regular files in and below the home directory that have been modified in the last 90 minutes,find ~ -type f -mmin -90 find all the regular/normal files in the current direcoty which have not been accessed in the last 30 days.,find . -type f -atime +30 -print Find all regular files in the current director and set their permissions to '644'.,find ./ -type f -exec chmod 644 {} \; find all normal/regular files in the current directory,find . -type f -print find all the normal/regular files in the current directory,find -type f find all the normal/regular files in the current directory and search for the word mail and display the file names,find . -type f -exec grep -il mail "Find all regular files in the current directory and search them for ""example""",find -maxdepth 1 -type f | xargs grep -F 'example' "Find all regular files in the current directory tree, except GIT files",find . -name .git -prune -o -type f -print Find all regular files in the current directory tree ignoring directory ./source/script,find . -path ./source/script -prune -o -type f -print; Find all regular files in the current directory tree last modified between 1 and 3 days ago and list them using find's -ls option,find ./ -daystart -mtime -3 -type f ! -mtime -1 -exec ls -ld {} \; Find all regular files in the current directory tree last modified between 1 and 3 days ago and list them using format '%TY %p\n',find ./ -daystart -mtime -3 -type f ! -mtime -1 -printf '%TY %p\n' Find all regular files in the current directory tree last modified between 1 and 3 days ago and list them using format '%Tc %p\n',find ./ -daystart -mtime -3 -type f ! -mtime -1 -printf '%Tc %p\n' Find all regular files in the current directory tree last modified between 1 and 3 days ago and list them using format '%Tm %p\n',find ./ -daystart -mtime -3 -type f ! -mtime -1 -printf '%Tm %p\n' Find all regular files in the current directory tree that are not readable by all,find -type f ! -perm -444 Find all regular files in the current directory tree that have been modified within the last 10 minutes,find . –type f -mmin -10 find all the regular/normal files in the current directory which do not have the extension comment and and redirect the output to /tmp/list,"find . -type f \! -name ""*.Z"" \! -name "".comment"" -print | tee -a /tmp/list" find all the regular/normal files in the current folder and do not search in the sub directories,find . -maxdepth 1 -type f find all the normal/regular files in current folder and search for a pattern,find . -type f -print0 | xargs -0 grep pattern "find all the regular files in current folder, that have been changed in the last 3 days and display last 5 files",find . -type f -ctime -3 | tail -n 5 find all the normal/regualar files in the current folder which have a size of 10KB and display a long listing details of them.,find . -type f -size +10000 -exec ls -al {} \; "find all the normal/regular files in the current folder which are present in the pattern file ""file_list.txt""",find . type f -print | fgrep -f file_list.txt find all the normal/regular files in the current folder which have been accessed in the last 24 hours and display a long listing of them,find . -type f -atime -1 -exec ls -l {} \; find all the normal/regular files in the current folder which have been modified in the last 24 hours and display a long listing of them,find . -type f -mtime -1 -exec ls -l {} \; find all regular/normal files in current folder which have been modified in the last 60 minutes,find -type f -mtime -60 "find all the regular/normal files in the current folder which belong to the group ""flossblog""",find . -group flossblog -type f find all the regular/normal files in the current folder which belong to the users with the user id's between 500 and 1000,find . -uid +500 -uid -1000 -type f "find all normal/regular files in current folder which end with ""~"" or which begin and end with ""#"" and and and delete them",find . -maxdepth 1 -type f -name '*~' -delete -or -name '#*#' -delete "find all the regular files in the current folder which start with a ""some text""",find . -type f -name '*some text*' find all regular/normal files in the current folder whose name has the word photo or picture and which have been modified in the last 30 minutes,"find . \( -iname ""*photo*"" -or -name ""*picture*"" \) -and ! -type d -and -mmin -30" "find all normal/regular files in the entire file system having the word ""filename"" in their name.","find / -type f -iname ""filename""" Find all regular files in the entire filesystem that belong to the group 'users',find / -type f -group users "find all the normal/regular files in the folder ""pathfolder"" excluding all hidden files and display the count",find pathfolder -maxdepth 1 -type f -not -path '*/\.*' | wc -l "find all the normal/regular files in the folder ""pathfolder"" which are 2 levels deep, excluding all hidden files and display the count",find pathfolder -mindepth 2 -maxdepth 2 -type f -not -path '*/\.*' | wc -l "find all the regular/normal files in the folder /travelphotos which are bigger than 200KB and which do not have the word ""2015"" in their name","find /travelphotos -type f -size +200k -not -iname ""*2015*""" find all the normal/regular files in the folder main-directory,find main-directory -type f Find all regular files in minimum 1 level down the $dir directory,"find ""$dir"" -mindepth 1 -type f" "Find all regular files in the the user's home/mail directory and search for the word ""Linux"".","find ~/mail -type f | xargs grep ""Linux""" Find all regular files matching the name pattern '*.?htm*' under '/srv/www' and '/var/html' directory tree,"find /srv/www /var/html -name ""*.?htm*"" -type f" Find all regular files named 'Chapter1' under current directory tree,find . -name Chapter1 -type f -print Find all regular files named 'Waldo' under 'Books' directory tree that is located in user's home directory,find ~/Books -type f -name Waldo Find all regular files named postgis-2.0.0 under your home directory,"find ~/ -type f -name ""postgis-2.0.0""" Find all regular files newer than '/tmp/$$' (where $$ expands to current process id) under '/tmefndr/oravl01' directory tree,find /tmefndr/oravl01 -type f -newer /tmp/$$ Find all regular files on the system whose names are 'myfile',find / -name myfile -type f -print Find all regular files or symlinks in the entire file system,find / -mount -depth \( -type f -o -type l \) -print "Find all regular files residing in the current directory tree and search them for string ""/bin/ksh""",find . -type f -print | xargs grep -i 'bin/ksh' Find all regular files starting from / that have permissions 777,find / -type f -perm 0777 Find all regular files starting from level 3 of directory tree ~/container and move them one level up,"find ~/container -mindepth 3 -type f -execdir mv ""{}"" $(dirname ""{}"")/.. \;" Find all regular files starting from level 3 of directory tree ~/container and move them to the current directory,find ~/container -mindepth 3 -type f -exec mv {} . \; Find all regular files starting from level 3 of directory tree ~/container and move them to the current directory's parent,find ~/container -mindepth 3 -type f -exec mv {} .. \; Find all regular files that contain 'linux' (case insensitive) in their names under '/root' directory tree,"find /root -type f -iname ""*linux*""" Find all regular files that reside in the current directory tree and were last modified at least 1 day ago,find . -type f -mtime +0 Find all regular files that reside in the current directory tree and were last modified more than 1 day ago,find . -type f -mtime +1 Find all regular files that reside in the current directory tree and were last modified more than 2 days ago,find . -type f -mtime +2 Find all regular files that reside in the current directory tree and were last modified more than 3 days ago,find . -type f -mtime +3 Find all regular files that reside in the current directory tree and were last modified more than 4 days ago,find . -type f -mtime +4 Find all regular files that reside in the current directory tree and were last modified more than 7 days ago,find . -type f -mtime +7 Find all regular files that start with stat,find . -type f –iname stat* Find all regular files that were modified $FTIME days ago under current directory tree,find . -type f -mtime $FTIME "Find all regular files that were modified more than 60 days ago under '/path-to-directory' directory tree, sort them according to timestamp and print the filenames preceded with the timestamps","find /path-to-directory -type f -mtime +60 -printf ""%T@ %p\n"" | sort" Find all the regular files under $DIR directory tree which have been modified before the file $a excluding the file $a and delete them,"find ""$DIR"" -type f \! -newer ""$a"" \! -samefile ""$a"" -delete" "Find all regular files under $DIR directory tree whose paths match the regex "".*\.${TYPES_RE}"" where ${TYPES_RE} expands as a variable","find ${DIR} -type f -regex "".*\.${TYPES_RE}""" "Find all regular files under $DIR/tmp/daily/, sort them in reverse numerical order and copy the first two files to $DIR/tmp/weekly/","find $DIR/tmp/daily/ -type f -printf ""%p\n"" | sort -rn | head -n 2 | xargs -I{} cp {} $DIR/tmp/weekly/" "Find all regular files under $DIRECTORY_TO_PROCESS matching the case insensitive regex "".*\.$FILES_TO_PROCES"" where $FILES_TO_PROCES is a variable and not matching the name pattern '$find_excludes' where $find_excludes is another variable, then print the files with null delimiter instead of newline","find ""$DIRECTORY_TO_PROCESS"" -type f -iregex "".*\.$FILES_TO_PROCES"" ! -name ""$find_excludes"" -print0" "Find all regular files under $FOLDER directory tree that start with '"".' and end with '""' in their names and were modified in less than $RETENTION days excluding the files whose contents match one of the regular expressions defined per line in file $SKIP_FILE","find ${FOLDER} -type f ! -name \"".*\"" -mtime -${RETENTION} | egrep -vf ${SKIP_FILE}" Find all regular files under $d directory tree and change their permissions to 777,"find ""$d/"" -type f -print0 | xargs -0 chmod 777" Find all regular files under $dir,find $dir -type f Find all regular files under $dir directory tree that are bigger than $size MB in size and print them along with their sizes in decreasing order of size,"find $dir -type f -size +""$size""M -printf '%s %p\n' | sort -rn" Find all regular files under ${path} without following symlinks,find ${path} -P -type f Find all regular files under '/directory_path' directory tree that have been modified within the last day,find /directory_path -type f -mtime -1 -print Find all regular files under '/home/john/scripts' directory tree excluding files with '.ksh' extension,"find /home/john/scripts -type f -not -name ""*.ksh"" -print" Find all regular files undee '/usr/bin' directoryt tree that are less than 50 bytes in size,find /usr/bin -type f -size -50c Find all the regular files under '/your/dir' directory tree which are bigger than 5 MB and display them in decreasing order of their sizes,find /your/dir -type f -size +5M -exec du -h '{}' + | sort -hr Find all regular files under ./Desktop directory,find ./Desktop -type f "Find all regular files under and below /home/admin/public_html/, and change their permissions to 644",find . /home/admin/public_html/ -type f -exec chmod 644 {} \; Find all regular files under and below /home/user/demo/,find /home/user/demo -type f -print "Find all regular files under and below /root that match pattern ""*linux*"", case insensitive","find /root -type f -iname ""*linux*""" Find all regular files under current directory,find . -depth -type f -print "Find all regular files under current directory non-recursively that have execute permission set for all (user, group and other)",find . -maxdepth 1 -type f -perm -uga=x Find all regular files under current directory tree containing 'some text' in their names without descending into hidden directories and excluding hidden files,find . -type d -path '*/\.*' -prune -o -not -name '.*' -type f -name '*some text*' -print Find all regular files under current directory tree that contain 'some text' in their names excluding paths that contain dot files/directories,find . -not -path '*/\.*' -type f -name '*some text*' Find all regular files under current directory tree that match the regex 'tgt/etc/*' in their paths,"find . -type f -name \* | grep ""tgt/etc/*""" Find all regular files under current directory tree whose names end with 'cache' or 'xml' or 'html',"find . -type f \( -name ""*cache"" -o -name ""*xml"" -o -name ""*html"" \)" Find all regular files under current directory tree without descending into './dir1' (except './dir1/subdir1*' pattern) and './dir2' directories,find . \( -path './dir1/*' -and -not -path './dir1/subdir1*' -or -path './dir2' \) -prune -or -type f -print Find all the regular files under directory 'dir1' that are at least N levels deep,find dir1 -mindepth N -type f Find all regular files under test directory,find test -type f find all the reglar files which ahve been changed in the last 5 minutes and do not search in the sub directories.,find /home/pankaj -maxdepth 1 -cmin -5 -type f find all regular/normal files which have cpp folder in their path,"find . -type f -path ""*/cpp/*""" "Find all regular files whose names contain ""@"" in directory tree ~/$folder","find ~/$folder -name ""*@*"" -type f" "Find all regular files with '.jpg' (case insensitive) extension, sort them by name, print the output and also save the list to file 'file_list.txt'","find . -type f|grep -i ""\.jpg$"" |sort| tee file_list.txt" Find all regular files with '.r' and '.c' in their names under current directory tree,find ./ -type f \( -name '*.r*' -o -name '*.c*' \) -print Find all the regular files with '.tgz' and '.gz' extensions and delete the oldest file under '/home/backups' directory tree,find /home/backups -type f \( -name \*.tgz -o -name \*.gz \) -print0 | xargs -0 ls -t | tail -1 | xargs rm Find all regular files with '.what_to_find' extension in the entire filesystem and move them to directory '/new_directory',"find / -iname ""*.what_to_find"" -type f -exec mv {} /new_directory \;" Find all regular files with 400 permission under '/data' directory tree,find /data -type f -perm 400 -print Find all regular files with the group read permission set in your home directory and below and output detailed information about each file.,find . -perm -g=r -type f -exec ls -l {} \; Find all regular files with name pattern $filename under $fileloc directory tree,"find ""$fileloc"" -type f -prune -name ""$filename"" -print" Find all regular files with permissions 777 under and below /home/user/demo/,find /home/user/demo -type f -perm 777 -print Find all regular non-hidden files in the current directory and its subdirectories,"find . -not -path '*/\.*' -type f \( ! -iname "".*"" \)" Find all sample* files/directories under current directory and print 'program {}-out {}' where {} will expand to file paths,"find . -name ""sample*"" | xargs -i echo program {}-out {}" Find all sample*_1.txt files/directories under current directory,"find . -name ""sample*_1.txt""" Find all the SGID bit files whose permissions set to 644,find / -perm 2644 Find all the SGID files in the current directory tree,find . -perm /g+s Find all SGID set files,find / -perm /g=s find all the shell scripts or perl files in the current directory,"find . -type f \( -name ""*.sh"" -o -name ""*.pl"" \)" find all sqlite files in the current directory.,"find ./ -name ""*.sqlite""" "Find all strings matching pattern ""^${KEY}${DELIMITER}"" in $FILE file and print rest of string after $DELIMITER","cat ""$FILE"" | grep ""^${KEY}${DELIMITER}"" | cut -f2- -d""$DELIMITER""" "Finds all strings with parent folder of path '$path' in 'file', and saves result in 'x' variable.","x=$(grep ""$(dirname ""$path"")"" file)" Find all subdirectories of the current directory except hidden ones,"find -maxdepth 1 -type d ! -name "".*""" Find all SUID files .,find / -perm /u=s Find all the SUID files in the current directory tree,find . -perm /u=s Find all SUID files in entire file system,find / -perm +4000 Find all SUID set files,find / -perm /u=s Find all symbolic links containing 'javaplugin' in their names under '/usr' directory tree,find /usr/ -lname *javaplugin* Find all symbolic links containing 'vim' in their names uder '/usr/bin' directory tree,find /usr/bin -name '*vim*' -type l find all symbolic links in the current folder,find -type l find all the symbolic links in the current folder,find /etc -type l -print find all the symbolic links in the current folder and check the file type and display the output of those files which are broken,find ./ -type l -exec file {} \; |grep broken find all the symbolic links in the current folder and follow to the pointing file,find -L find all the symbolic links in the current folder that are broken,find . -xtype l Find all symbolic links under '/proc/$pid/fd' directory tree with name pattern '$save_path/sess_\*' and update their timestamps,"find ""/proc/$pid/fd"" -ignore_readdir_race -lname ""$save_path/sess_\*"" -exec touch -c {}" find all symbolic links under /usr,find /usr -type l Find all symbolic links under current directory that are not hard links,find . -type f -links 1 -print Find all syslog directories under /var/log directory,"find /var/log -name ""syslog"" -type d" Find all target files outside the current working directory with symbolic links in the current working directory,"find . -type l -exec readlink -f '{}' \; | grep -v ""^`readlink -f ${PWD}`""" find all teh script files in a directory,"find /home/john -name ""*.sh"" -type f -print" Find all test.txt files/directories under current directory,find . -name test.txt Find all test1.h files under current directory,sudo find . -name test1.h Find all test2.h files under current directory,sudo find . -name test2.h find all text files in the current directory and compress them to a cpio file,find . -name '*.txt' | cpio -pdm /path/to/destdir find all the text files in the current directory which have been modified in the last 4 days and not today and copy them to another folder,"find . -name ""*.txt"" -type f -daystart -mtime -4 -mtime +0|xargs -i cp {} /home/ozuma/tmp" find all text files in current folder and delete them,"find . -name "".txt"" -exec rm ""{}"" \;" find all text files in current folder and display all files that have the alphabet a in their name,"find . -name "".txt"" | grep a" find all the text files in the current folder and display their Permissions and size along with their name,"find . -name ""*.txt"" -printf ""%M %f \t %s bytes \t%y\n""" find all the text files in current folder and force delete them,"find . -name ""*.txt"" | xargs rm -rf" "find all the text files in the current folder and do not search in somedir, bin directories","find . -name somedir -prune , -name bin -prune -o -name ""*.txt"" -print" find all the text files in the current folder and do not search in the sub directories,"find -maxdepth 1 -iname ""*.txt""" "find all text files in the current folder excluding those that are presenti n the folder ""/svn"" and search for a pattern.",find . -name '*.txt' \! -wholename '*/.svn/*' -exec grep 'sometext' '{}' \; -print "find all the text files in the current folder expect those which are in the path ""sk""","find . -path ""./sk"" -prune -o -name ""*.txt"" -print" "find all the text files in the current folder starting with ""somefiles-""","find . -name ""somefiles-*-.txt"" -type f" find all text files in the current folder which have been modified after the file /tmp/newerthan,"find . -name ""*.txt"" -newer /tmp/newerthan" find all text files in current folder; which have been modified exactly 5 days ago,"find . –name ""*.txt"" –mtime 5" "find all text files in the folder ""FFF"" and find the md5sum for them","find FFF -name ""*.txt"" -exec md5sum '{}' \;" find all the text files in the folder /home/calvin and do not search beyond 2 levels,find /home/calvin/ -maxdepth 2 -name “*.txt” find all the text files in the folder /home/calvin which are atleast below 2 levels,find /home/calvin/ -mindepth 2 -name “*.txt” find all the text files in the home folder,"find ~ -name ""*.txt"" — print" find all text files in user/directory/ which have been modified today and display the last line of these files,"find /user/directory/ -name ""*txt"" -mtime 0 -type f -printf '%p: ' -exec tail -1 {} \;" find all the text files which are present in the current directory excludinghidden files.,"find . -type f \( -iname ""*.txt"" ! -iname "".*"" \)" find all text files which have extra extensions in the current folder,find . -name '*.text' -exec $SHELL -c '[ ! -f ${1%.*} ]' $SHELL '{}' ';' -print Find all top-level files in the current folder but ones with name like '*Music*' to the 'dest/' folder.,find . -maxdepth 1 -name '*Music*' -prune -o -print0 | xargs -0 -i cp {} dest/ "Find all TXT files in the current directory and copy them to directory ""$HOME/newdir""","find ""$HOME"" -name '*.txt' -type f -not -path ""$HOME/newdir/*"" -print0 | xargs -0 cp -t ""$HOME/newdir""" "find all the undo files in the current folder and display the toal lines, words, characters",find ./ -name *.undo | xargs wc Finds all users logged in via ssh.,w | grep ssh find all the video files in the home folder,find ~ -type f -exec file -i {} + | grep video find all the word press configuration php files in the folder /var/www and do not search beyond two levels,find /var/www/ -name wp-config.php -maxdepth 2 "find all the xml files in current folder and which are present in the pattern list file ""/tmp/a""","find . -name ""*.xml"" -exec grep -HFf /tmp/a {} \;" Find all xml files under current directory,find . -name '*.xml' Find all xml files under current directory and archive them to .bz2 archives,find -name \*.xml -print0 | xargs -0 -n 1 -P 3 bzip2 Find all xx* files/directories excluding 'xxx' files/directories under your home directory,find ~ -name 'xx*' -and -not -name 'xxx' find all the zip files in the current folder,find . -type f -name '*.zip' Find an inode and remove,find . -inum 968746 -exec rm -i {} \; Find and the 5 largest regular files in the Downloads folder of tecmint's home directory and output the file sizes in bytes.,"find /home/tecmint/Downloads/ -type f -printf ""%s %p\n"" | sort -rn | head -n 5" Find and compress all .pl files in the current directory tree,"find . -name ""*.pl"" | xargs tar -zcf pl.tar.gz" Find and delete all hard links in the /home directory to file1,find /home -xdev -samefile file1 -exec rm {} + Find and delete all hard links in the /home directory tree to file1,find /home -xdev -samefile file1 | xargs rm Find and delete the file with inode number 1316256,find ./ -inum 1316256 -delete find and image in current folder (case insensitive search),"find . -iname ""Articles.jpg""" Find and list all files on your current directory and show a few lines of output from the beginning,find | head Find and print the full pathname of all PDF files in the current directory and its sub-directories.,"find . -name ""*.pdf"" -print" Find and remove zero bytes files from user's directories .,find /usr/* -size 0c -exec rm {} \; Find and show all files in the current directory tree that are exactly 2000 kB,find . -size 2000k Find and show all files on the system that are larger than 900 MB,find / -size +900M "Find and uncompress all files in the current directory tree ending in "".csv.gz""",find . -name '*.csv.gz' -exec gzip -d {} \; find any files in the current directory that begin with a number,find . -regex './[0-9].*' -print "find any files or directories called "".svn"" under the current directory and run a recursive delete (without prompting) command on each one.",find . -iname .svn -exec bash -c 'rm -rf {}' \; "Find any file that has ""disc"" somewhere in its name in the current directory and all of its sub-directories.",find . -name *disc* Find apparent size of a target directory,du -hs /path/to/directory find the biggest files only (but not directories),find . -type f -exec du -Sh {} + | sort -rh | head -n 15 Find blabla* files under current directory,"find . -depth -name ""blabla*"" -type f | xargs rm -f" Find broken links,find / -type l -print0 | xargs -0 file | grep broken Find broken symlinks,"find ./ -follow -lname ""*""" Find broken symlinks in current directory,find -L -type l "find case-insentive example.com file, and whole dose not contain beta",find -iname example.com | grep -v beta "find case-insentive example.com file, omit ./beta path",find ./ -path ./beta/* -prune -o -iname example.com -print "This find command ignore the case when searching for file name , to ignore the case in this example all .py & .PY file will search","find . -type f -iname ""*.py""" Find command will display top 10 Big files from current directory .,find . -type f -exec ls -s {} \; |sort -n -r |head "Find command will list of all files & directories from current directory , before listing echo command will display ' List of files & Directory '",find . -exec echo ' List of files & Direcoty' {} \; Find the core files and remove them,find . -name “core” -exec rm -f {} \; find the count of all the regular files in a directory,find /usr -type f | wc -l find the count of text files that are present in the current working directory.,find . -maxdepth 1 -name \*.txt -print0 | grep -cz . "Find CSS files omitting results containing ""CVS""","find . \! -path ""*CVS*"" -type f -name ""*.css""" Find deb packages in the current directory recursively,"find . -type f -and -iname ""*.deb""" find the depth of all the files in current folder and display the depth and file name,"find folder1/ -depth -type f -printf ""%d\t%p\n""" "Find directory ""/some/dir"" if it is empty",find /some/dir/ -maxdepth 0 -empty "Find directory ""your/dir"" if it is empty",find your/dir -prune -empty "Find directories and regular files containing `blah' in their names modified less than 2 days ago, case insensitive",find . -iname '*blah*' \( -type d -o -type f \) -mtime -2 Find directories in the current directory (no sub-directories) and print them appended with a string literal 'Directory: ',"find . -maxdepth 1 -type d -print | xargs -I ""^"" echo Directory: ""^""" Find directories in the current directory tree that were modified within the last 24 hours and move them to /path/to/target-dir,find . -depth -type d -mtime 0 -exec mv -t /path/to/target-dir {} + Find directories named `doc' in /usr and below,find /usr -name doc -type d Find directories named 'work' under '/usr/ports/' directory tree and remove them,find /usr/ports/ -name work -type d -print -exec rm -rf {} \; find directory names starts with 'bar',find . -path './bar*' -print Find directories owned by user news with permissions 775,find / -user news -type d -perm 775 -print Find directories starting from /TBD that were modified more than 1 day ago,find /TBD -mtime +1 -type d Find directories under maximum 1 level down the directory $dir with 100 permission that are owned by the user $username,find $dir -maxdepth 1 -type d -user $username -perm -100 find directory which case-insensitive name is foo in current directory.,find . -iname foo -type d find directory which name is Cookbook under /users/al,find /users/al -name Cookbook -type d "Find the directories whose pathnames contain ""New Parts"" at level 3 of the current directory tree and create symlinks to them in /cygdrive/c/Views","find -mindepth 3 -maxdepth 3 -type d | grep ""New Parts"" | tr '\012' '\000' | xargs -0 ln -s -t /cygdrive/c/Views" Find disk usage of all files inside the directory,du -a Find disk used space of only the target directory,du --max-depth=0 ./directory Find the empty directories and files under current directory,find -empty Find empty files/directories under test directory,find test -empty Find every file/directory under /var/spool that was modified more than 60 days ago.,find /var/spool -mtime +60 Find every file/directory under the directory /home owned by the user joe,find /home -user joe "Find every file under the directory /usr ending in "".stat"".",find /usr -name *stat "Finds every folder with file 'header.php' within, and copies file 'topscripts.php' to every one of them.",find -type f -name 'header.php' | xargs -n 1 dirname | xargs -n 1 cp -f topscripts.php Find every vim undo file under current directory,find -type f -iname '*.un~' Find executable files,find . -perm -100 -print "find the file ""dateiname"" in the current folder ( case insensitive search)","find -iname ""Dateiname""" "find the file ""dateiname"" in the entire file system ( case insensitive search)","find / -iname ""Dateiname""" "find the file ""filename.txt"" in the entire file system",find / -name filename.txt -print "find the file ""foo.txt"" in the current folder and assign the output to a variable",OUTPUT=`find . -name foo.txt` "find the file ""httpd.log"" in the entire file system",find / -type f -name httpd.log "find the file ""httpd.log"" in the folder /home/web-server/",find /home/web-server/ -type f -name httpd.log "find the file ""httpd.log"" in the folder /home/web-server/ ( case insensitive search )",find /home/web-server/ -type f -iname httpd.log Find file `Chapter1' on the system,find / -name Chapter1 -type f -print Finds file 'Subscription.java' and changes to containing folder.,cd $(find . -name Subscription.java | xargs dirname) Find file `hosts',find /etc -name hosts Find files/directories in entire file system that have been modified in the last minute,find / -mmin -1 Find files/directories in entire file system that had their meta information changed more than 3 days ago,find / -ctime +3 Find files/directories in entire file system that were modified a day ago,find / -mtime 1 Find files/directories modified within the last day under /etc,find /etc -type f -ctime -1 Find files/directories named 'TEST_3' under current directory tree,find -name TEST_3 Find files/directories named 'articles.jpg' under current directory tree and change their permission to 644,"find . -name ""articles.jpg"" -exec chmod 644 {} \;" Find files/directories named 'document' in 'ext2' partitions in entire filesystem,find / -fstype ext2 -name document -print Find files/directories named 'document' in the entire filesystem and in the directory tree '/usr' even if it's in a different partition without traversing to other devices/partitions,find / /usr -xdev -name document -print Find files/directories named 'file.txt' in the path '/usr/lib/important/',find / -path /usr/lib/important/*/file.txt Find files/directories named 'file.txt' that belong to user 'tutonics' in the entire filesystem,"find / -user tutonics -name ""file.txt""" Find files/directories named 'foo' in the current partition of the root filesystem,find -x / -name foo Find files/directories named 'foo.bar' in the root filesystem partition,find / -name foo.bar -print -xdev "Find files/directories named 'sar' under '/usr', '/bin', '/sbin' and '/opt' directory tree",find /usr /bin /sbin /opt -name sar Find files/directories named blah (case insensitive) under current directory,find ./ -iname blah Find files/directories named blah under current directory,find ./ -name blah Find files/directories named under current directory which were accessed less than 5 days ago,"find -name """" -atime -5" Find files and directories newer than CompareFile under current directory,find . -newer CompareFile -print Find files/directories not changed in two weeks under /dev/shm,find /dev/shm /tmp -type f -ctime +14 Find files and directories owned by xuser1 and change their ownership to user2,find . -user xuser1 -exec chown -R user2 {} \; Find files and directories that are at least seven levels of nesting in the directory /usr/src,find /usr/src -name CVS -prune -o -mindepth 7 -print Find files/directories that are newer than 'foo.txt' under current directory tree,find -newer foo.txt Find files/directories that belong to user 'ian' under '/tmp' directory tree,find /tmp -user ian Find files/directories that isn't owned by the user 'apache' under /var/www,find /var/www ! -user apache -print0 | xargs -0 Find files/directories that have no owner or group under /path,find /path -nouser -or -nogroup Find files/directories that have not been modified in the last one day in directories or files taken from the glob pattern '/tmp/test/*',find /tmp/test/* -daystart -mtime +1 Find files/directories that does not have write permssion for group,find /path ! -perm /020 Find files/directories that does not have write permssion for group and others,find /path ! -perm /022 Find files/directories under '/dir' directory tree that are newer than 'yesterday.ref' file and older than 'today.ref' file by modification time,find /dir -newer yesterday.ref -a \! -newer today.ref -print Find files/directories under /tmp smaller than 100 bytes,find /tmp -size -100c Find files/directories under current directory and print them,find . -print0 | xargs -0 echo "Find files/directories under current directory matching the posix-egrep type regex "".+\.(c|cpp|h)$"" in their names","find . -regextype posix-egrep -regex "".+\.(c|cpp|h)$""" Find files/directories under current directory that matches './projects/insanewebproject' in their paths,find -ipath './projects/insanewebproject' Find files/directories under current directory that matches './projects/insanewebproject' in their paths and show the first one,find -ipath './projects/insanewebproject'| head -n1 Find files/directories under current directory that matches 'projects/insanewebproject' in their paths,find -ipath 'projects/insanewebproject' Find files/directories under current directory that matches the regex /path/to/something[^/]*$ in their paths,find . | grep -qi /path/to/something[^/]*$ Find files/directories under current directory without descending into it,find -maxdepth 0 "Find files/directories with exactly read,write and execute permission for all (owner, group and others) under /path",find /path -perm 777 Find files and directories with group id 1003,find . -gid 1003 Find files/directories with inode number '212042' under '/var' directory tree without traversing other devices/partitions,find -x /var -inum 212042 Find files and directories with the name RAID but don't traverse a particular directory,find . -name RAID -prune -o -print Find files associated with an inode,find . -inum 968746 -exec ls -l {} \; Find files belonging to the given owner,find /path/to/search -user owner Find files bigger than 20 megabytes in the home directory tree,find ~ -size +20M Find files by type,find -type type_descriptor "Find files containing string ""#!/bin/ksh"" and append their names and matching strings to /tmp/allfiles",find . -type f -execdir /usr/bin/grep -iH '#!/bin/ksh' {} \; | tee /tmp/allfiles find files ending with .jpg,find . -name '*.jpg' -print ./bar/foo.jpg Find files in $DIR_TO_CLEAN that are older than $DAYS_TO_SAVE days and print them with null character appended to their paths,"find ""${DIR_TO_CLEAN?}"" -type f -mtime +${DAYS_TO_SAVE?} -print0" "find files in $HOME ending in ""txt"" or ""html"" and case insensitive search for the word ""vpn""",find $HOME \( -name \*txt -o -name \*html \) -print0 | xargs -0 grep -li vpn Finds files in 'directory' folder with the same name and location but different content than files in 'directory.original' folder and prints location of such files.,diff -qr directory directory.original | cut -d' ' -f2 | xargs dirname | uniq Finds files in 'directory' folder with the same name and location but different content than files in 'directory.original' folder and saves location of such files to 'directories' variable.,directories=$(diff -qr directory directory.original | cut -d' ' -f2 | xargs dirname | uniq) find files in /dir/path/look/up directory that names are dir-name-here,"find /dir/path/look/up -name ""dir-name-here""" Find files in the /var/log folder which were modified an hour or more ago,find /var/log/ -mmin +60 Find files in the /var/log folder which were modified between 60 minutes and 10 minutes ago,find /var/log/ -mmin -60 -mmin +10 Find files in the /var/log folder which were modified modified 2 weeks ago,find /var/log/ -mtime +7 -mtime -8 Find files in /var/tmp/stuff and below that have not been modified in over 90 days,find /var/tmp/stuff -mtime +90 -print "Find files in two different directories (esofthub and esoft) having the ""test"" string and list them","find esofthub esoft -name ""*test*"" -type f -ls" "Find files in and below the current directory whose names begin with ""not"" and remove one of them",find . -name not\* | tail -1 | xargs rm Find files in the current directory and below that are 2000 kB in size,find . -size 2000k -print FInd files in current directory and grep text and html files - but not index.html and report things that contain the word 'elevator' in four or more lines,find . -type f -print0 | egrep -iazZ '(\.txt|\.html?)$' | grep -vazZ 'index.html' | xargs -n 1 -0 grep -c -Hi elevator | egrep -v ':[0123]$' Find files in the current directory and its sub-directories that begin with 'f'.,find . -name f* -print "Find files in the current directory excluding CVS, SVN, GIT repository files and all binary files.","find . -not \( -name .svn -prune -o -name .git -prune -o -name CVS -prune \) -type f -print0 | xargs -0 file -n | grep -v binary | cut -d "":"" -f1" "find files in the current directory having name ""filename""","find -iname ""filename""" Find the files in the current directory that match pattern '*.ISOLATE.*.txt' and move them to folder ./ISOLATE,find . -name '*.ISOLATE.*.txt' -maxdepth 1 -print0 | xargs -0 -IFILE mv FILE ./ISOLATE Find the files in the current directory that match pattern '*.ISOLATE.quantifier.txt' and move them to folder ISOLATE/,find -name '*.ISOLATE.quantifier.txt' -maxdepth 1 -exec mv {} ISOLATE/ + Find the files in the current directory that match pattern '*.JUKEBOX.*.txt' and move them to folder ./JUKEBOX,find . -name '*.JUKEBOX.*.txt' -maxdepth 1 -print0 | xargs -0 -IFILE mv FILE ./JUKEBOX Find files in the current directory tree of size between 700k and 1000k,find . \( -size +700k -and -size -1000k \) "Find files in the current directory tree that match pattern ""*sub*""","find ./ -name ""*sub*""" Find files in the current directory tree which are larger than 5 MB in size,find . -size +5000k -type f Find files in the current directory tree which have permissions rwx for user and rw for group and others,find . -perm 766 "Find files in the current directory tree whose names are of the form ""cxx_data.txt"" where xx is a number from 30 to 70",find . -regextype posix-egrep -regex '.\*c([3-6][0-9]|70).\*' "Find files in the current directory tree whose names are of the form ""cxx_data.txt"" where xx is a number from 40 to 70","find . -regextype posix-egrep -regex ""./c(([4-6][0-9])|70)_data.txt""" "Find files in the current directory tree whose pathnames contain ""sub""","find ./ | grep ""sub""" Find files in the current directory tree whose size is 24000 bytes,find . -size 24000c Find files in the current directory tree whose size is less than 24000 bytes,find . -size -24000c "Find files in the current directory whose names begin with ""file"" and remove them",find . -name file* -maxdepth 1 -exec rm {} \; "find files in current folder ending with "".c"" or "".h"" or "".ch"" and search for a word in these files and enable color highlighting of the matched text","find . -name ""*.[ch]"" -exec grep --color -aHn ""e"" {} \;" Find files in entire file system that are writable by group or other,"find / -perm /g+w,o+w" Find files in entire file system with at least 644 permissions,"find / -perm -u+rw,g+r,o+r" find files in home directory that names are game,find ~ -name game find files in the home folder which have been modified in the last day. ( -daystart measures times from the beginning of today rather than from 24 hours ago.),find ~/ -daystart -type f -mtime 1 Find files larger than 100MB in /var/www and exclude files with /download/ in their path from the output,"find /var/www/ -type f -name ""*"" -size +100M -exec du -h '{}' \;|grep -v /download/" Find files larger than 50k,find . -size +50k Find files matching regular expression regexp,find . | xargs grep regexp Find files modified at least 5 days in the future,"find . -newermt ""5 days""" Find files modified between 6 and 9 minutes ago,find . -mmin +5 -mmin -10 Find files modified in the last 5 minutes starting from the current directory,find . -mmin -5 Find files modified more recently than file poop,find . -newer poop "Find files named ""blabla"" in the current directory tree and print the number of lines in each of them","find ./ -name ""blabla"" -exec wc -l {} ;" "Find files named ""needle"" ignoring the case","find . -iname ""needle""" "Find files named ""ppp.conf"" in the /etc directory tree",find /etc -name ppp.conf Find files named 'core' in or below the directory /tmp and delete them,find /tmp -depth -name core -type f -delete Find files named 'fileName.txt' under '/path/to/folder' directory tree ignoring 'ignored_directory',"find /path/to/folder -name fileName.txt -not -path ""*/ignored_directory/*""" find file named foo.txt under current directory.,find . -name foo.txt find file named foo.txt under root / directory.,find / -name foo.txt Find files newer than `tmpfile' starting from the current directory,find . -newer tmpfile Find files newer than start.txt but not newer than end.txt,find ./ -newer start.txt -and ! -newer end.txt Find files not matching the patterns 'Image*-70x70*' and 'Image*-100x100*' in their names under Folder1 and copy them to Folder2,find Folder1 \( ! -name 'Image*-70x70*' -a ! -name 'Image*-100x100*' \) | xargs -i% cp -p % Folder2 "Find files not matching the posix extended regex '.+\-[0-9]{2,4}x[0-9]{2,4}\.jpg' in their paths under Folder1 and copy them to Folder2","find Folder1 -type f -regextype posix-extended \( ! -regex '.+\-[0-9]{2,4}x[0-9]{2,4}\.jpg' \) -print0 | xargs -0 cp -p --target-directory=Folder2" Find files on the system accessed during the last 24 hours but not within the last hour,find / -atime -1 -amin +60 Find files on the system created during the last 50 days,find / -ctime -50 Find files on the system modified more than 90 minutes ago,find / -mmin +90 Find files on the system whose names begin with either x or X,"find / -name ""[Xx]*""" "Find files owned by the ""shadow"" group",find / -group shadow Find files owned by no group,find / -nogroup Find files owned by nonexistent groups,find / -nogroup -print Find files readable only by the group,find . -perm g=r -type f -exec ls -l {} \; "Find files recursively with extension ""ext""","find . -name ""*.ext""" "Find files starting with the word ""file"" in the current directory tree","find . -name ""file*""" "Find files starting with the word ""file"" in the current directory tree, ignoring the case","find . -iname ""file*""" Find files that are 0 bytes in size in the current directory and remove them,find . -maxdepth 1 -size 0c -exec rm {} \; Find files that are 0 bytes in size in the current directory tree and remove them,find . -size 0 -exec rm {} \; Find files that are 100k,find -size 100k Find files that are empty,find -empty -type -f Find files that are orphaned,find -nouser Find files that match the executable bit for owner or group,find -type f -perm /110 Find files that do not have a listing in the /etc/passwd or /etc/group in the file system,find / -nouser -o -nogroup Find files that were modified 7 days ago and archive them,find . -type f -mtime 7 | xargs tar -cvf `date '+%d%m%Y'_archive.tar` Find files that were modified less than 7 days ago and archive them,find . -type f -mtime -7 | xargs tar -cvf `date '+%d%m%Y'_archive.tar` Find files that were modified more than 7 days ago and archive them,find . -type f -mtime +7 | xargs tar -cvf `date '+%d%m%Y'_archive.tar` Find files that were modified more than 7 days ago but less than 14 days ago and archive them,find . -type f -mtime +7 -mtime -14 | xargs tar -cvf `date '+%d%m%Y'_archive.tar` Find files that were modified second last week and archive them,find . -type f -mtime +7 -mtime -14 | xargs tar -cvf `date ‘+%d%m%Y’_archive.tar` Find files under /etc/apache-perl that are modified more recently than /etc/apache-perl/httpd.conf,find /etc/apache-perl -newer /etc/apache-perl/httpd.conf Find files under /some/path that are not executable,find /some/path -type f ! -perm -111 -ls Find files under /some/path that are not executable by the owner,find /some/path -type f ! -perm -100 -ls Find files under /tmp that are larger than 10KB and smaller than 20KB,find /tmp -size +10k -size -20k "Find files under [directory] that match 'pattern_to_INCLUDE' in their names without descending into directories that match 'pattern_to_exclude' and 'another_pattern_to_exclude', then search for 'pattern' in those files","find [directory] -name ""pattern_to_exclude"" -prune -o -name ""another_pattern_to_exclude"" -prune -o -name ""pattern_to_INCLUDE"" -print0 | xargs -0 -I FILENAME grep -IR ""pattern"" FILENAME" "find files under the current directory called ""foo"" or ""bar""","find . \( -name ""foo"" -o -name ""bar"" \)" "find files under the current directory ending in ""txt"" and list them, or ending in ""html"" but do nothing.",find . -name '*.txt' -print -o -name '*.html' Find files under current directory that are newer than $date_time in regards of modification time,"find . -type f -newermt ""$date_time""" Find files under current directory that are not newer than $date_time in regards of modification time,"find . -type f -not -newermt ""$date_time""" Find files under current directory that contains the string '/bin/ksh',find . -type f -exec grep -iH '/bin/ksh' {} \; Find files under current directory without descending into other file systems and append a null character at the end of each paths,find -x . -type f -print0 Find files which are more than 2 days old under ${userdir}/${i}/incoming directory,find ${userdir}/${i}/incoming -mtime +2 -type f -ls find file which case-insensitive name is foo in current directory.,find . -iname foo find files which full path name is /tmp/foo/bar under /tmp/foo directory and print,find /tmp/foo -path /tmp/foo/bar -print find files which full path name is /tmpfoo/bar under /tmp/foo directory and print,find /tmp/foo -path /tmp/foo/bar -print /tmp/foo/bar find files which full path name is /tmpfoo/bar under foo directory and print,find foo -path /tmp/foo/bar -print Find files whose content was modified at least 1 minute ago,find ./ -mmin +1 Find files whose data was modified within the given days of the month,find ./ -daystart -mtime -10 -and -mtime +1 "Find files whose name starts with ""MyFile"", ignoring the case",find . -iname 'MyFile*' Find files with 002 permission in entire file system,find / -type f -perm -002 Find files with 002 permission in entire file system and print them with the string 'has world write permissions' appended after every path,find / -type f -perm -002 -printf '%p has world write permissions\n' Find files with 002 permission in entire file system and print them with the string 'has world write permissions' printed at last,echo $(find / -type f -perm -002) has world write permissions Find files with 777 permissions and change them to 755,find / -type f -perm 0777 -print -exec chmod 755 {} \; Find files with a question mark in their names,find . -name \*\\?\* Find files with extension .conf in the /etc directory tree,"find /etc -name ""*.conf""" "find the file with the name ""esxcfg-firewall"" in the current folder",find -print | grep esxcfg-firewall Find files with name `aaa.txt' under the current directory,find . -name aaa.txt Find files with SGID (2000) and SUID(4000) permssions set in the file system,find / \( -perm -2000 -o -perm -4000 \) -ls Find files with size more than 200557600B and which are more than 2 days old under ${userdir}/${i}/incoming directory,find ${userdir}/${i}/incoming -mtime +2 -type f -size +200557600c -ls Find file1 in the level 1 directories and above,find -maxdepth 2 -name file1 Find find symlinks pointing to /mnt/oldname* in the entire file system,find / -type l -lname '/mnt/oldname*' Find the first file/directory named 'something' under current directory and quit,find . -name something -print -quit Finds the folder where temporary files would be written to.,dirname $(mktemp -u -t tmp.XXXXXXXXXX) "find foo, Foo, FOo, FOO, etc., but only files",find . -iname foo -type f find for a filename with multiple patterns in the current folder,"find . -name ""photo*.jpg""" find for a word in all the regular files in the current directory,find . -type f -exec grep -li '/bin/ksh' {} \; find for lighttpd in /var,find /var -name lighttpd "find for the word ""dba_2pc_pending"" in all the files of current fodler having the word ""sql"" in their name",find . -print|grep sql|xargs grep -i dba_2pc_pending find for xml files in current folder using regular expressions,"find ./ -regex ""cmn-.*[\x4e00-\x9fa5]*\.xml""" Find hard links to the same file lpi104-6/file1 in the directory tree lpi104-6,find lpi104-6 -samefile lpi104-6/file1 find httpd.conf file in /etc directory,"find /etc -name ""httpd.conf""" Finds if environment variable like 'DUALCASE' exists in environment.,env | grep DUALCASE "find in $HOME files ending in ""txt"" and do nothing with them, or files ending in ""html"" and list them null separated.",find $HOME -name \*txt -o -name \*html -print0 "Find in the current direcoty whose suffix is .tmp , find will not serach recursively limit of find is 2 subdirectory .",find . -maxdepth 2 -name '*.tmp' find js file which name is not 'glob-for-excluded-dir' under current directory.,find . -name '*.js' -\! -name 'glob-for-excluded-dir' -prune Find the largest files in a particular location,find /home/tecmint/Downloads/ -type f -exec du -Sh {} + | sort -rh | head -n 5 Find largest file in linux with find command,"find . -type f -printf ""%s\t%p\n"" | sort -n | tail -1" Find links to any file that happens to be named `foo.txt',find . -lname \*foo.txt Find links to file path/to/foo.txt,find -L / -samefile path/to/foo.txt "find list of all files with file permission , link , owner , group , reation time , size , file name",find . -exec ls -ld {} \; Find how many directories are in a path (counts current directory),find . -type d -exec basename {} \; | wc -l "Finds matched text in defined path recursively, but not follows symlinks.","grep -r ""string to be searched"" /path/to/dir" find md5sum of 'string to be hashed',echo 'string to be hashed' | md5 find md5sum of 401,yosemite$ echo -n 401 | md5 find md5sum of an empty string,echo -n '' | md5 "find md5sum of content from ""www.google.com""",curl -s www.google.com | md5 find md5sum of string 'hi',echo -n hi | md5 Finds more than 5 days old files in two directories and compresses them.,find /home/folder1 /home/folder2 -type f -mtime +5 -exec compress {} \; Find the most recently changed files in a subtree,find . -type f -printf '%TY-%Tm-%Td %TT %p\n' | sort Find movies over a gigabyte in size,find ~/Movies/ -size +1024M Finds name of a current month and saves it in a 'month' variable.,"month=$(cal | head -1 | grep -oP ""[A-Za-z]+"")" find not case sensitive all directories that names are 'apt',"find / -type d -iname ""apt""" find not case sensitive all directories that names are 'apt' and display details,"find / -type d -iname ""apt"" -ls" Find not-executable files under /home/www,find /home/www/ ! -executable find the oldest normal file in the current directory,find -type f -printf '%T+ %p\n' | sort | head -n 1 find the oldest normal file in the current directory and display its contents,"find -type f -printf ""%T+ %p\0"" | sort -z | grep -zom 1 "".*"" | cat" find the oldest normal file in the current directory and display with its timestamp,"find ! -type d -printf ""%T@ %p\n"" | sort -n | head -n1" Find one file or directory in the current directory whose name matches the pattern given as a variable $a,"find . -maxdepth 1 -name ""$a"" -print -quit" Find only files under /etc with the size of 100k-150k,find /etc -size +100k -size -150k "Finds only parts of echoed string that match with regex 'run-parts (-{1,2}\S+ )*\S+', and saves them in $match variable, each matched part on a separate line.","match=$(echo ""${line}"" | egrep -o 'run-parts (-{1,2}\S+ )*\S+')" Finds out what groups a current user has.,groups Finds out what groups a given user has.,groups user Find the passwd file in the current directory and one level down,find -maxdepth 2 -name passwd Find the passwd file under root and two levels down,find / -maxdepth 3 -name passwd find the path of a specfic video file in the current directory,"find ./ -name ""foo.mp4"" -printf ""%h\n""" "Finds pattern text ignoring letter case in all .js files, prints matched strings and name of file with that strings.",find . -name '*.js' -exec grep -i 'string to search for' {} \; -print Find PHP files containing 2 or more classes,"find . -type f -name ""*.php"" -exec grep --with-filename -c ""^class "" {} \; | grep "":[2-99]"" | sort -t "":"" -k 2 -n -r" "Finds PIDs of all running processes, gets executable binary of each process, and prints containing folder of each binary.","ps -A -o pid | xargs -I pid readlink ""/proc/pid/exe"" | xargs -I file dirname ""file""" (GNU specific) Find the process currently taking the most CPU time.,top -b -n1 -c | grep -A 2 '^$' Find the process id of mysql,ps -A|grep mysql Find recursively all Emacs backup files in the current directory and remove them,find . -name '*~' | xargs rm Find recursively all files changed within the last 5 minutes starting from directory b,find b -cmin -5 "Finds recursively all files having extension .c, .h in '/path/' that contain 'pattern', and prints matched strings with string number and file name.","grep --include=\*.{c,h} -rnw '/path/to/somewhere/' -e ""pattern""" "Find recursively all files in the ""."" directory tree whose names end with "".class"" and delete them","find . -type f -name ""*.class"" -exec rm -vf {} \;" "Find recursively all files in /path that end in ""txt"" and copy them to /tmp/","find /path -type f -name ""*txt"" -printf ""cp '%p' '/tmp/test_%f'\n"" | bash" "Finds recursively all files not having extension .o in '/path/' that contain 'pattern', and prints matched strings with string number and file name.","grep --exclude=*.o -rnw '/path/to/somewhere/' -e ""pattern""" "Find recursively all files whose names ends with ""foo""","find . -name ""*foo""" "Finds recursively all folders in current folder which path not contains ""NameToExclude"" string and removes only ones without files and another folders within.","find . -type 'd' | grep -v ""NameToExclude"" | xargs rmdir" Find recursively all Python files in the current directory and search them for the word ‘import’,find . -name '*.py' | xargs grep 'import' Find recursively all Python files in the current directory tree and count the number of lines in them,find . -name '*.py' | xargs wc -l Find recursively all regular files changed within the last 5 minutes starting from directory b,find b -type f -cmin -5 Find recursively all regular files in the current directory tree ending in .dll or .exe,"find . -type f | grep -P ""\.dll$|\.exe$""" Find recursively all regular files in the current directory tree not ending in .dll or .exe,"find . -type f | grep -vP ""\.dll$|\.exe$""" Find recursively all regular files in directory tree b that were changed within the last 5 minutes and copy them to directory c,find b -type f -cmin -5 -exec cp '{}' c \; "Finds recursively and following symlinks from root folder all files that contain ""text-to-find-here"" and prints files names.","grep -Ril ""text-to-find-here"" /" "Find recursively the files named ""file"" in the current directory ignoring the .git subdirectory",find . -path ./.git -prune -o -name file -print "Find recursively the files named ""file"" in the current directory ignoring all .git directories",find . -name .git -prune -o -name file -print Finds recursion-related options of a 'grep' utility.,grep --help |grep recursive Find regular files in the current directory that are writable by their owner,find -maxdepth 1 -type f -perm /200 Find regular files in the current directory tree that have all executable bits set,find -L . -type f -perm -a=x Find regular files in the current directory tree that have any executable bits set,find -L . -type f \( -perm -u=x -o -perm -g=x -o -perm -o=x \) Find regular files in the current directory tree that have executable bits set for the user and group but not for the other,"find -L . -type f -perm -u=x,g=x \! -perm -o=x" Find regular files in the current directory tree that have the user executable bit set,find . -type f -perm -u=x "Find regular files matching pattern ""*oraenv*"" and excecute the ""file"" utility for each of them","find . -name ""*oraenv*"" -type f -exec file {} \;" "Find regular files named ""expression -and expression"" under and below /dir/to/search/",find /dir/to/search/ -type f -name 'expression -and expression' -print "Find regular files named ""regex"" under and below /dir/to/search/",find /dir/to/search/ -type f -name 'regex' -print Find regular files named 'findme.txt' under '/usr' and '/home' directory tree,find /usr /home -name findme.txt -type f -print find regular file named foo.txt under root / directory.,find / -name foo.txt -type f find regular file named foo.txt under root / directory.,find / -name foo.txt -type f -print Find regular files that are bigger than 500 MB in size under current directoryt tree,find . -type f -size +500M Find regular files that are larger than 2GB,find . -type f -size +2G Find regular files that have SUID or SGID set,find / -perm +6000 -type f Find regular files under '/somefolder' directory tree satisfying the options/conditions/operations provided in ${ARGS[@]} array with find command,"find /somefolder -type f '(' ""${ARGS[@]}"" ')'" "Find regular files under / that contain ""stringtofind""",find / -maxdepth 1 -xdev -type f -exec grep -li stringtofind '{}' \; "Find regular files under and below /path that match pattern ""???-???_[a-zA-Z]*_[0-9]*_*.???""","find /path -type f -name ""???-???_[a-zA-Z]*_[0-9]*_*.???""" Find regular files with permissions less than 111,find -perm -111 -type f find the regular js files which path does not contains '*/test/*' and name does not contains '*-min-*' or '*console*',"find . ! -path ""*/test/*"" -type f -name ""*.js"" ! -name ""*-min-*"" ! -name ""*console*""" Find root's files in the current directory tree,find ./ -user root "find setuid files and directories writing the details to /root/suid.txt , and find large files writing the details to /root/big.txt, traversing the filesystem just once","find / \( -perm -4000 -fprintf /root/suid.txt '%#m %u %p\n' \) , \ \( -size +100M -fprintf /root/big.txt '%-10s %p\n' \)" Find SGID files,find / -perm +2000 Finds shell options like 'checkjobs' with their state.,shopt -p | grep checkjobs Finds shell options with 'login' in name.,shopt | grep login Find smallest file in the current directory with find commad,"find . -type f -printf ""%s\t%p\n"" | sort -n |head -1" Find SQL files with text `expression',"find . -name ""*.sql"" -print0 -type f | xargs -0 grep ""expression""" "Find string ""STRING"" in files residing in the current directory tree, case insensitive","find . -type f -print | xargs grep -ni ""STRING""" Find the string 'joomla' case insensitively in all the php and html files under current directory tree and display the matched lines along with the file names and line numbers,"find . \( -name ""*.php"" -o -name ""*.html"" \) -print0 | xargs -0 grep -Hin ""joomla""" "Finds strings having text ""searched-string"" in all files recursively in a current folder.","find . | xargs grep ""searched-string""" Find strings with 'Features' in /var/run/dmesg.boot' file,cat /var/run/dmesg.boot | grep Features Finds strings with 'TEXT' from *.log files and prints all but first field from any space-delimited string.,grep -e TEXT *.log | cut -d' ' --complement -s -f1 "Finds string with text ""string to be searched"" in any cased files like ""*.cs"" recursively in a current folder.","find ./ -type f -iname ""*.cs"" -print0 | xargs -0 grep ""content pattern""" find suffix tcl files under all directories started with 'n',"find ./n* -name ""*.tcl""" Find suspicious PHP files,"find . -type f -name ""*.php"" -exec grep --with-filename ""eval(\|exec(\|base64_decode("" {} \;" find symbolic link file that name match '*sysdep.c',find . -lname '*sysdep.c' "Find symbolic links in /usr/lib and /usr/lib64 to files whose pathnames contain ""libstdc++""","find /usr/lib/ /usr/lib64/ -lname ""*libstdc++*""" "Find symbolic links in /usr/sbin and /usr/bin to files whose pathnames end in ""*/systemctl""","find /usr/sbin /usr/bin -lname ""*/systemctl""" "Find symbolic links in lpi104-6 and research/lpi104-6 to files whose pathnames end in ""file1""","find lpi104-6 research/lpi104-6 -lname ""*file1""" "Find symlinks under and below the ""test"" directory and replace them with the content of the linked files",find test -type l -exec cp {} {}.tmp$$ \; -exec mv {}.tmp$$ {} \; Find text in whole directory tree,"find . -type f | xargs grep ""text""" Find things changed today,find /path/to/search -daystart -ctime -1 Find the top 25 files according to their size in the current directory and its subdirectories,find . -type f -exec ls -al {} \; | sort -nr -k5 | head -n 25 Find the total size of *.jpg files within the current directory tree,find . -type f -iname '*.jpg' -print0 | du -c --files0-from=- Find the total size of *.jpg files within the directory tree ./photos/john_doe,find ./photos/john_doe -type f -name '*.jpg' -exec du -ch {} + | grep total$ find the type of all the regular/normal files in the current folder (plus takes bulk of files as input to the file command),find . -type f -exec file {} \+; "Find users whose names begin with ""ab"" and ends with ""1""",who | cut -d ' ' -f 1 | grep -e '^ab' -e '1$' "Find users whose names begin with ""ab"" or whose terminal from which they are logged in ends with ""1""",who | grep -e '^ab' -e '1$' Find which ruby files under current directory contain the string 'jump',find . -name '*.rb' -exec grep -H jump {} \; "Find with combine multiple search criterias , in this command serach files that begin with abc in there name and dont have .py extension .",find . -type f -name 'abc*' ! -name '*.py' Find writable regular files in the current directory,find -type f -maxdepth 1 -writable Find writable regular files omitting those that contain sites/default/files in their names,find . -type f -writable | grep -v sites/default/files Find x* files/directories under /tmp directory whose status was changed less than 1 day ago,find /tmp/ -ctime -1 -name x* Find x* files/directories under /tmp directory whose status was changed less than 1 day ago and move them to ~/play,"find /tmp/ -ctime -1 -name ""x*"" -exec mv '{}' ~/play/" "Follows symbolic link $BASH_SOURCE, and prints path to its target.",$(dirname $(readlink -f $BASH_SOURCE)) "Follow symbolic links for the full path of ""lshw""",readlink -f $(which lshw) "For each item in array ""alpha"", display the basename, that is the part following the last slash, or the whole item if no slash is present.","basename -a ""${alpha[@]}""" "For each line in file2 whose first field appears as a first field in file1, print an output line constructed following the specified -o format.","join -11 -21 -o1.1,1.2,1.3,2.3 file1 file2" "For each line of colon-separated information in files 'empsal' and 'empname' whose first field matches in both files, output: first field of empname, second field of empname, fourth field of empname, and third field of empsal.","join -j 1 -t : -o 2.1,2.2,2.4,1.3 <(sort empsal) <(sort empname)" "For each line of the sorted output of both file1 and file2, print lines whose first field of file2 does not appear as first field of file1.",join -v1 <(sort file1) <(sort file2) "For each line which has a common first field in file1.csv and file2.txt, output the first 4 fields of file1.csv","join -o 1.1,1.2,1.3,1.4 -t, <(sort file1.csv) <(sort file2.txt)" "For each line which has a common first field in file1.csv and file2.txt, output the first 4 fields of file1.csv - both files must be sorted first.","join -o 1.1,1.2,1.3,1.4 -t, file1.csv file2.txt" "For each line which has a common first field in test.1 and test.2, output the first 2 fields of test.2 and the field 2 and 3 of test.1","join -j1 -o 2.1,2.2,1.2,1.3 <(sort test.1) <(sort test.2)" "For each line whose first field is the same in file1 and file2, output the common first field followed by all other fields in file1 and file2.",join -j1 file2 file1 "Force create a hard link in ""/usr/local/bin"" to ""findpdftext""","sudo ln -f ""findpdftext"" /usr/local/bin" "Force create a hard link named ""non_secret_file.txt"" to ""secret_file.txt""",ln -f secret_file.txt non_secret_file.txt "Force create a hard link named '/home/user/Musik/youtube converted/aesthesys~ I Am Free, That Is Why I'""'""'m Lost..mp3' with target '/home/user/Musik/mix-2012-13/aesthesys~ I Am Free, That Is Why I'""'""'m Lost..mp3'","ln -f '/home/user/Musik/mix-2012-13/aesthesys~ I Am Free, That Is Why I'""'""'m Lost..mp3' '/home/user/Musik/youtube converted/aesthesys~ I Am Free, That Is Why I'""'""'m Lost..mp3'" "Force create a symbolic link as a file named ""/usr/lib/jvm/default-java"" to ""$default_java_dir"" with verbose output","sudo ln -sTfv ""$default_java_dir"" ""/usr/lib/jvm/default-java""" "Force create a symbolic link named ""$*"" to the canonical absolute path of ""$1""","ln -sf ""$(readlink -f ""$1"")"" ""$*""" "Force create a symbolic link named ""$lines"" to ""$c"" without dereferencing ""$lines""","ln -sfn ""$c"" ""$lines""" "Force create a symbolic link named ""$pdir"" to ""$pluginpath"" with verbose output","sudo ln --symbolic --verbose --force ""$pluginpath"" ""$pdir""" "Force create a symbolc link named ""/usr/local/bin/fpt"" to ""/usr/local/bin/findpdftext""","sudo ln -s -f ""/usr/local/bin/findpdftext"" ""/usr/local/bin/fpt""" "Force create a symbolic link named ""currentDir"" to ""newDir"" without dereferencing ""currentDir""",ln -sfn newDir currentDir "Force create a symbolic link named ""id_rsa"" to ""$keyname""",ln -sf $keyname id_rsa "Force create a symbolic link named ""mylink"" with target ""/apps/myapps/new/link/target""",ln -f -s /apps/myapps/new/link/target mylink "Force create a symbolic link to ""$f"" in ""~/my-existing-links/"" with name the basename of ""$f""","ln -sf ""$f"" ""~/my-existing-links/$(basename $f)""" "Force create a symbolic link without dereferencing named ""$SYMLINK_PATH"" to ""$lastModified""",ln -nsf $lastModified $SYMLINK_PATH "Force create a symbolic link without dereferencing named ""alpha"" to ""alpha_2""",ln -nsf alpha_2 alpha "Force create a symbolic link without dereferencing named ""mylink"" to ""dir2""",ln -nsf dir2 mylink force create hard link between $GIT_DIR/../apresentacao/apresentacao.pdf and $GIT_DIR/../capa/apresentacao.pdf,ln -f $GIT_DIR/../apresentacao/apresentacao.pdf $GIT_DIR/../capa/apresentacao.pdf Force decompress all files into '/etc',gzip -d --force * /etc force delete all the core files in the home folder,find $HOME -name core -exec rm -f {} \; "force delete all the directories the folder ""test folder""",find 'Test Folder' -type d -print0 | xargs -0 rm -rf Forcefully delete all files in the current directory that begin with spam-,find . -name 'spam-*' | xargs rm Force delete all files in the current folder,"find . | xargs -i rm -f ""{}""" force delete all the files in the current folder expect xml files,find . | grep -v xml | xargs rm -rf {} Force delete all files in the temp folder which have not been accesses in the last 240 hours,find /tmp/* -atime +10 -exec rm -f {} \; Force delete all jpg files in current directory which are less than 50KB and do not search in the sub directories,"find . -maxdepth 1 -name ""*.jpg"" -size -50k -exec rm {} \;" force delete all the regular/normal files in the current folder,find . -type f -exec rm -fv {} \; Force delete all the regular/normal files in the current folder and do not search in the sub folders,find . -maxdepth 1 -type f -exec rm -f {} \; Force delete all the regular/normal files in the current folder and do not search in the sub folders (print0 is used to handle files which have newlines in their names or files with the name only as spaces ),find . -maxdepth 1 -type f -print0 | xargs rm -f force delete all the temp files which are of size 0 bytes and which have not been accessed in the last 10 days,find /tmp -size 0 -atime +10 -exec rm -f {} \; Force the group stickiness for directories under /var/www,find /var/www -type d -print0 | xargs -0 chmod g+s "Force pseudo tty allocation on connection to ""somehost"" and execute ""~/bashplay/f""",ssh -t somehost ~/bashplay/f force remove all the c files in the current folder,"find . -name ""*.c"" | xargs rm -rf" "force remove all the c files in the current folder, print0 is used to handle all files with new lines in their names or files with only spaces in their name","find . -name ""*.c"" -print0 | xargs -0 rm -rf" force remove all the directories with the name logs in the folder /var/www,find /var/www -type d -mtime 0 -name logs -exec sudo rm -fr {} \; Force remove all files and folders in the physical current working directory,"rm -rf ""$(pwd -P)""/*" force remove all the regular/normal files which begin with sess in the temp folder,find /tmp -type f -name sess* -exec rm -f {} \; force remove all the text files that have not been modified in the last 89 days,"find . -name ""*.txt"" -type f -daystart -mtime +89 | xargs rm -f" Forcefully remove files *~important-file,rm -rf *~important-file "forcibly and verbosely create a symbolic link named ""target"" to file ""source""",ln -sfvn source target "forcibly and verbosely create symbolic links in directory ""~/Library/LaunchAgents"" to all files located in /usr/local/opt/mongodb/ and that have filename extension "".plist""",ln -sfv /usr/local/opt/mongodb/*.plist ~/Library/LaunchAgents forcibly change owner to all files and directories in current directory to user www-data,sudo chown -Rf www-data * "forcible create a symbolic link named ""/etc/file.conf"" to file ""/etc/configuration/file.conf""",ln -fs /etc/configuration/file.conf /etc/file.conf "forcibly create a symbolic link named ""linkname"" to file ""new_destination""",ln -sf new_destination linkname "Forcibly create symbolic links in target directory ""~/staging"" for all files located in directory ""~/mirror""",ln --force --target-directory=~/staging ~/mirror/* Forcibly create symlink named as '/cygdrive/c/Users/Mic/mypics' to the directory '/cygdrive/c/Users/Mic/Desktop/PENDING - Pics/',ln -sf '/cygdrive/c/Users/Mic/Desktop/PENDING - Pics/' '/cygdrive/c/Users/Mic/mypics' Forcibly removes ${temp} file.,"rm --force ""${temp}""" Forcibly removes all files like '*.bak' and '*~',rm -f *.bak *~ "Format ""$line"" as a table","echo ""$line"" | column -t" "Format ""file.txt"" as space separated columns 28 characters in width","cat file.txt | column -c 28 -s ""\ """ Format and print the time string @133986838 according to the default time format,date --date @120024000 "Format bash array ""${arr}"" in columns","echo "" ${arr[@]/%/$'\n'}"" | column" Format the date represented by time string @1267619929 according to default format and print it,date -ud @1267619929 "Format file ""list-of-entries.txt"" as new-line separated columns",column -t -s $'\n' list-of-entries.txt "Format file ""list-of-entries.txt"" with no column delimiter",column -t -s '' list-of-entries.txt Format output of 'file' content to columns with wide not less than 80 pixels,cat file | column -c 80 "Format tab delimited file ""list-of-entries.txt"" as a table",column -t -s $'\t' list-of-entries.txt "Format tab separated fields in ""FILE"" as a table",column -t -s $'\t' FILE "Format the time string $timestamp according to the format string ""%Y-%m-%d %H:%M:%S"" and save the output to variable 'CDATE'","CDATE=$( date -d @""$timestamp"" +""%Y-%m-%d %H:%M:%S"" )" Format the time string @133986838 according to the default time format and save it to variable 'VARIABLENAME',VARIABLENAME=$(date -d @133986838) Forward all connections to client localhost 3307 via the SSH tunnel to gateway and then connect to host 1.2.3.4 to port 3306,ssh -f user@gateway -L 3307:1.2.3.4:3306 -N "Forward all connections to client localhost 3309 via the SSH tunnel to ""mysql_access_server"" and then connect to host ""sqlmaster.example.com"" on port 3306",ssh -f mysql_access_server -L 3309:sqlmaster.example.com:3306 -N Forward port 12345 bound on 'localhost' to port 12345 on 'otherHost' as user 'otherUser',ssh -f -N -L localhost:12345:otherHost:12345 otherUser@otherHost Forward port 3307 on localhost to port 3306 on 1.2.3.4 via 'user@gateway' on port 24222,ssh -f user@gateway -p 24222 -L 3307:1.2.3.4:3306 -N Forward port 8000 bound on localhost to port 22 in 'clusternode' via 'user@bridge',ssh -L localhost:8000:clusternode:22 user@bridge "From a script, output the name of the script itself, without containing directories.",basename $0 "From a script, output the name of the script itself, without containing directories - from a shell, output the name of the shell.",basename -- $0 "From the list of words (one per line) in list1.txt, display the number of occurrences of this word in list2.txt and sort the results from highest to lowest count.",grep -Ff list1.txt list2.txt | sort | uniq -c | sort -n Generates a randomly sorted list of numbers from 1 to 10.,seq 1 10 | sort -R | tee /tmp/lst |cat <(cat /tmp/lst) <(echo '-------') \ <(tac) "Generates default-formatted file name of temporary file in a /dev/mapper folder, and saves path to it in a variable 'MAPPER'.",MAPPER=$(mktemp -up /dev/mapper) "Generates name for temporary file with 6-letter suffix, and saves path to that new file in 'fn' variable.",fn=$(mktemp -u -t 'XXXXXX') Generate the obsolete 29 character Spanish alphabet and number each character,"echo -e {{a..c},ch,{d..l},ll,{m,n},ñ,{o..z}}""\n"" | nl" Generate the Spanish alphabet and number each character,"echo -e {{a..n},ñ,{o..z}}""\n"" | nl" Generates temporary file in a '/dev/shm' folder and saves path to it in a 'tFile' variable.,tFile=$(mktemp --tmpdir=/dev/shm) Generates temporary file name with full path by template 'fifo.XXXXXX' and saves it to variable 'fifo_name',fifo_name=$(mktemp -u -t fifo.XXXXXX) Gets a current job back to the foreground.,fg Get a detailed list of all files on the system larger than 10MB,find / -size +10M -printf “%12s %t %h/%fn” Gets a job with defined number back to the foreground.,fg 1 Get a list of all files in the /home directory tree and their coressponding inode numbers,"find /home -type f -printf ""%i@%p\n""" Get a list of all hidden files from the current directory tree,find . -type f -name '.*' Get a list of files and directories in the current directory tree,find . -print0 | xargs -0 echo get a PID of a process,jobs -x echo %1 Get A record for domain $domain,dig $domain Get the actual find exectuable path,which find get all files in a current directory modified in the last 7 days,find . -mtime -7 -print0 | xargs -0 tar -cjf /foo/archive.tar.bz2 get all the files that are exactly 30 days old,find . -mtime 30 -print get all the files that have been modified within the last 30 days,find . -mtime -30 -print Gets all IP addresses from host network configuration and prints first one.,"ifconfig | grep ""inet addr:"" | grep -v ""127.0.0.1"" | grep -Eo '[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}' | head -1" Gets back to the foreground a job with number 2.,fg 2 "Get the base filename from variable 'path', similar to using the basename command.","echo ""$path"" | rev | cut -d""/"" -f1 | rev" get the count of all the files that have been accessed in the last 30 days,find . -atime +30 -exec ls \; | wc -l "Get current directory name without full path, ie. the part after the last /","basename ""$(pwd)""" Get directory listing of URL $1 and save them to variable 'header' by deleting '\r' characters,"header=""$(curl -sI ""$1"" | tr -d '\r')""" Get the disk space used by all *.txt (case insensitive) files/directories under current directory,"find . -name ""*.txt"" -print0 |xargs -0 du -ch | tail -n1" Get the disk space used by all *.txt (case insensitive) files/directories under folder 1 and folder2,find folder1 folder2 -iname '*.txt' -print0 | du --files0-from - -c -s | tail -1 Get domain name from dig reverse lookup.,$dig -x 8.8.8.8 | grep PTR | grep -o google.* Get domain names from file '1.txt' and request TXT DNS record for each one,cat 1.txt | xargs dig TXT Get domain name with 'google' from address $1,"dig -x ""$1"" | grep PTR | cut -d ' ' -f 2 | grep google | cut -f 5" Get domain name with 'google' from address $IP,dig -x $IP | grep PTR | cut -d ' ' -f 2 | grep google | cut -f 5 get the git user access,su git Get the grandparent directory of each found 'pattern' file in $SEARCH_PATH,"find ""$SEARCH_PATH"" -name 'pattern' | rev | cut -d'/' -f3- | rev" Gets the groups these users belong to.,groups a b c d Gets IP address of ${NET_IF} network interface.,NET_IP=`ifconfig ${NET_IF} | grep -Eo 'inet (addr:)?([0-9]*\.){3}[0-9]*' | grep -Eo '([0-9]*\.){3}[0-9]*' | grep -v '127.0.0.1'` Gets IP address of 'eth0' network interface.,ifconfig eth0 | grep -oP '(?<= inet addr:)[^ ]+' Gets IP addresses of all active network interfaces.,"ifconfig | grep -oP ""(?<=inet addr:).*?(?= Bcast)""" Gets IP addresses of all active network interfaces and saves to 'ip' variable.,"ip=$(ifconfig | grep -oP ""(?<=inet addr:).*?(?=Bcast)"")" Gets IP address of first listed network interface in system.,ifconfig | grep 'inet addr:' | grep -v 127.0.0.1 | head -n1 | cut -f2 -d: | cut -f1 -d ' ' Gets list of IP addresses of all network interfaces.,ifconfig | grep -Eo 'inet (addr:)?([0-9]*\.){3}[0-9]*' | grep -Eo '([0-9]*\.){3}[0-9]*' | grep -v '127.0.0.1' Gets MAC address of 'eth0' network interface.,ifconfig eth0 | grep -Eo ..\(\:..\){5} Gets MAC address of en0 network interface.,ifconfig en0 | grep -Eo ..\(\:..\){5} Gets MAC address of eth0 network interface.,ifconfig eth0 | grep -Eoi [:0-9A-F:]{2}\(\:[:0-9A-F:]{2}\){5} "Get the number of ""use"" statements in all PHP files, ordered","find . -type f -name ""*.php"" -exec grep --with-filename -c ""^use "" {} \; | sort -t "":"" -k 2 -n -r" Get the number of regular files in the directory given as the bash script argument $1,find $1 -type f | wc -l Get only the latest version of the file 'filename' under current directory,find . -name 'filename' | xargs -r ls -tc | head -n1 Get the path of running Apache,ps -ef | grep apache get the root access,sudo su get second-to-last comma-separated field of each line in file.txt,"cat file.txt | rev | cut -d ',' -f 2 | rev" Get the sizes (and total size) of all files under dir2 directory,find dir2 ! -type d |xargs wc -c Gets state of shell option 'dotglob' and saves it in 'rest_cmd' variable.,rest_cmd=$(shopt -p dotglob) Gets string with IP4 address of en0 network interface.,ifconfig en0 | grep inet | grep -v inet6 Gets string with MAC address of eth0 network interface.,ifconfig eth0 | grep HWaddr Get the total size of all files under dir1 directory,find dir1 ! -type d |xargs wc -c |tail -1 get year-month-day from date,date +%Y-%m-%d Give a long listing of all the *.pl files (Perl files) beneath the current directory.,"find . -name ""*.pl"" -exec ls -ld {} \;" Give all directories in the /path/to/base/dir tree read and execute privileges,find /path/to/base/dir -type d -exec chmod 755 {} + "gives the chars in line 5 and chars 5 to 8 of line 5, in tst.txt",head -5 tst.txt | tail -1 |cut -c 5-8 Give the location of every hard link to file1 in the /home directory tree,find /home -xdev -samefile file1 | xargs ls -l Gives the primary group of $USERNAME.,groups $USERNAME | cut -d\ -f 1 Go back to last directory.,cd - Go into the first directory whose name contains 1670,cd $(ls -d */ | grep 1670) "Go to /the/project/root//data, which in most filesystems/operating systems will be the same as cd /the/project/root/data",cd /the/project/root//data Go to /tmp directory.,cd /tmp "Go to directory /cygdrive/c/Program Files (x86)/$dollarsign using single quotes to escape special characters, including dollar signs",cd '/cygdrive/c/Program Files (x86)/$dollarsign' Go to directory /some/where/long,cd /some/where/long "Go to directory named ""~"" (not home directory)","cd ""~""" "Go to directory pointed by last element of array ""dirs"" in bash version 4.2","cd ""${dirs[-1]}""" "Go to last directory with name starting with a number, useful for timestamped directory names.","cd ""$(ls -rd [0-9]*/ | tail --lines 1)""" "Grab the output of ""basename"" (in this case ""stuff"") and echo it to stdout, which basename would do by default anyway.",echo $(basename /foo/bar/stuff) "Grab the output of ""basename"" (the last slash-separated section of variable ""filename"") and echo it to stdout, which basename would do by default anyway.","echo `basename ""$filename""`" grep for the last occurrence of text between two tags,tac a | grep -m1 -oP '(?<=tag>).*(?=)' grep from bottom of file,tac your.log | grep stuff "Gunzip all files matching ""file*.gz"" and answer ""n"" to any prompts",yes n | gunzip file*.gz Handles shell option 'nullglob' according with flags stored in $NGV variable.,"shopt ""$NGV"" nullglob" Hash hostnames in user's known hosts file.,ssh-keygen -Hf ~/.ssh/known_hosts Identify CMS version/releases accross all your Drupal websites,"find /home/*/public_html/ -type f -iwholename ""*/modules/system/system.info"" -exec grep -H ""version = \"""" {} \;" Identify CMS version/releases accross all your PHPBB installations,"find /home/*/public_html/ -type f -wholename *includes/constants.php -exec grep -H ""PHPBB_VERSION"" {} \;" Identify CMS version/releases accross all your Wordpress websites,"find /home/*/public_html/ -type f -iwholename ""*/wp-includes/version.php"" -exec grep -H ""\$wp_version ="" {} \;" "If variable ""c"" is a syntactically correct cron job, erase user's cron jobs and add ""c"" as the only cron job for user.",echo $c | crontab "Infinitely ping every host listed in ""file-of-ips"" with at most 50 processes at once",cat file-of-ips | xargs -n 1 -I ^ -P 50 ping ^ "Infinitely print ""no"" to the screen",yes no "Infinitely write ""1"" with line numbers to the console and ""/tmp/to""",yes 1 | nl | tee /tmp/to "insert ""e"" when it's pressed","bind '""e"":self-insert'" Instantly kill all processes whose command is 'myprocess'.,kill -9 \`pgrep myprocess\` Interpret backslash sequences and delete whitespace characters in variable $FOO and save the result to variable 'FOO_NO_WHITESPACE',"FOO_NO_WHITESPACE=""$(echo -e ""${FOO}"" | tr -d '[[:space:]]')""" "Invoke a trusted X11 forwarding SSH connection with server ""192.168.0.14"" on port 222 as user ""phil""",ssh -v -Y phil@192.168.0.14 -p 222 Invoke a trusted X11 forwarding SSH connection with the server $ssh_server as user $ssh_user,ssh -Y $ssh_user@$ssh_server "Join colon-separated information in 3 files LN.txt PH.txt and AD.txt in a cascade fashion: join LN.txt and PH.txt, then join the result with AD.txt",join -t':' <(sort LN.txt) <(sort PH.txt) | join -t':' - <(sort AD.txt) Join comma-separated information in 4 files,"join -t, <(sort test.1) <(sort test.2) | join -t, - <(sort test.3) | join -t, - <(sort test.4)" Join comma-separated information in 4 files - files must be sorted.,"join -t, test.1 test.2 | join -t, - test.3 | join -t, - test.4" "Join data in ""file1"" sorted by the second word of each line with data in ""file2"" sorted by the first word of each line, keeping the same order as it is found in ""file1""",join -1 2 -2 1 <(sort +1 -2 file1) <(sort +0 -1 file2) "Join data in file1 containing one number per line with data in file2 containing a number and other information per line, keeping the same order as it is found in file1.","join -1 2 -2 1 -a1 <(cat -n file1.txt | sort -k2,2) <(sort file2.txt) | sort -k2 | cut --complement -d"" "" -f2" "Join lines in file ""A"" with lines in file ""B"" if the lines share a common first word",join <(sort -n A) <(sort -n B) "Join lines in file ""aa"" with lines in file ""bb"" if the lines share a common first word",join <(sort aa) <(sort bb) "Join lines in file ""aa"" with lines in file ""bb"" if the lines share a common first word and sort the result numerically","join <(sort aa) <(sort bb) | sort -k1,1n" "Join lines of 'file': fields 1 and 2 of lines discarding adjascent lines ignoring first 3 fields, with fields 3 to end of line discarding adjascent lines ignoring 3 last fields.","paste <(uniq -f3 file | cut -f1,2) <(tac file | uniq -f3 | tac | cut -f3-)" "Join strings from 'file1' and 'file2', discarding excessive strings from largest file, and printing first, second and third space-separated field from first file, and third and fourth field from second file as a join result","join -o 1.2,1.3,2.4,2.5,1.4 <(cat -n file1) <(cat -n file2)" Keep the last 3 components (directories) of $path,echo $path | rev | cut -d'/' -f-3 | rev Keep the last 4 ASCII characters (bytes) of a string.,"echo ""0a.00.1 usb controller some text device 4dc9"" | rev | cut -b1-4 | rev" "Keep only the last two hyphen-separated sections of ""abc-def-ghi-jkl""","echo ""abc-def-ghi-jkl"" | rev | cut -d- -f-2 | rev" kill a number of background jobs,jobs -p | tail -n [number of jobs] | xargs kill kill all active jobs,jobs -p | xargs kill -9 kill all background jobs,jobs -p | xargs kill kill all background processes,kill -INT $(jobs -p) kill all jobs,kill $(jobs -p) kill group leader,kill `jobs -lp` Kill the processes of user `myuser' that have been working more than 7 days,find /proc -user myuser -maxdepth 1 -type d -mtime +7 -exec basename {} \; | xargs kill -9 Limits the number of results from grep to 2 lines,grep -o '1.' yourfile | head -n2 "lines.txt contains a list of line numbers, one entry per line - output only these lines from text.txt omitting the rest of the file.",cat -n text.txt | join -o2.2 lines.txt - Lists '/tmp/hashmap.$1' file or folder '/tmp/hashmap.$1' content one file per line.,ls -1 /tmp/hashmap.$1 "list *.pdf, *.bmp and *.txt files under the /home/user/Desktop directory.",find /home/user/Desktop -name '*.pdf' -o -name '*.txt' -o -name '*.bmp' list *.pdf files under the /home/user/Desktop directory.,find /home/user/Desktop -name '*.pdf' List *.txt files residing in the current directory tree,find . -name *.txt -exec ls {} ;\ List *.txt files under current directory that have 'mystring' in their name,find . -name *.txt | egrep mystring List .conf files residing in the /etc/nginx/ directory tree,find /etc/nginx -name '*.conf' -exec echo {} ; "List the 10 largest files or directories and their sizes under (and including) ""/var""",du -a /var | sort -n -r | head -n 10 List absolute path of files in the current directory,ls -1 | xargs readlink -f "list all the "".ksh"" files in the current directory","find . -ls -name ""*.ksh""" List all *.bak files in the current directory tree,find . -name '*.bak' -ls "List all *.c, *.h and *.cpp files under current directory",find . -type f \( -name '*.c' -or -name '*.h' -or -name '*.cpp' \) -exec ls {} \; "List all *.jar files/directories under /usr, /home and /tmp directory","find /usr /home /tmp -name ""*.jar""" List all *.java files/directories under /home/bluher with their full paths,find /home/bluher -name \*.java list all *.java files under the src directory,"find src -name ""*.java""" List all *.jpg files/directories in entire file system,"find / -name ""*.jpg"" -print" List all *.ogg files under your home directory along with their size,find $HOME -name '*.ogg' -type f -exec du -h '{}' \; List all *.txt files/directories under /etc,"find /etc -name ""*.txt"" -exec ls -l {} \;" List all *.txt files/directories under current directory,"find . -name ""*.txt"" -exec $SHELL -c 'echo ""$0""' {} \;" List all *.txt files/directories under current directory ensuring white space safety,find . -name '*.txt' -print0|xargs -0 -n 1 echo list all *.txt files in the user's home directory.,find ~/ -name '*.txt' List all *.txt files under current directory that contains the regex 'pattern',find . -type f -name '*.txt' -exec egrep -l pattern {} \; List all *.txt files under current directory that match 'foo=' in their file information,"find . -name ""*.txt"" -type f -print | xargs file | grep ""foo="" | cut -d: -f1" List all *fink* files/directories in entire file system,find / \( -type f -or -type d \) -name \*fink\* -ls List all *fink* files/directories under current directory,"find . -name ""*fink*"" |xargs ls -l" List all the .c files under the current directory and below in a 3 column format,"find . -name ""*.c"" | xargs -n3" list all active jobs and its IDs,jobs -l List all available commands in Mac OS,echo $PATH | tr ':' '\n' | xargs -I {} find {} -maxdepth 1 -type f -perm '++x' List all broken symlinks excluding cyclic links under current directory,"find . -type l -printf ""%Y %p\n"" | grep -w '^N'" "List all cron jobs which contain ""word"".","crontab -l | egrep ""word""" Lists all directories in '/home/alice/Documents/'.,ls -d /home/alice/Documents/*/ Lists all directories in a current folder.,ls -d */ Lists all directories in the current folder.,ls -d ./*/ List all directories in maximum 1 level down the current directory,find . -maxdepth 1 -type d -exec ls -dlrt {} \; List all directories under current directory,find . -type d -exec ls -dlrt {} \; List all the emptry files in thecurrent directory only.,find . -maxdepth 1 -empty List all environment variables containing 'USER' in their name or value that would result in running a command with 'sudo env'.,sudo env |grep USER "List all environment variables (name and value) whose name either equals HOME or PATH, or starts with GO",env | grep '^\(GO\|HOME=\|PATH=\)' List all environment variables (name and value) whose name either equals PATH or starts with GOBIN,env | grep '^\(GOBIN\|PATH=\)' List all environment variables (name and value) whose name starts with GOROOT,env | grep '^GOROOT' "List all environment variables whose name starts with PATH, showing the name and value of each one.",env | grep ^PATH List all files 2 levels deep in the current directory tree,tree -L 2 -fi List all files and directories from the current directory tree,find . -print | xargs ls List all files/directories in entire file system,find / -print List all files/directories under $dir_name with size $sizeFile and print them according to the format string '%M %n %u %g %s %Tb %Td %Tk:%TM %p\n',find $dir_name -size $sizeFile -printf '%M %n %u %g %s %Tb %Td %Tk:%TM %p\n' List all files/directories under /data1/Marcel which are greater than 524288 bytes and were modified or accessed more than 1 year ago,find /data1/Marcel -size +1024 \( -mtime +365 -o -atime +365 \) -ls List all files/directories under /data1/Marcel with their file information which are greater than 524288 bytes and were modified or accessed more than 1 year ago,find /data1/Marcel -size +1024 \( -mtime +365 -o -atime +365 \) -ls -exec file {} \; "List all files/directories under current directory by replacing all spaces with commas (,)","find . -ls | tr -s ' ' ," List all files/directories under current directory ensuring white space safety,find -print0 | xargs --null "List all files/directories under current directory matching the posix-egrep type regex "".+\.(c|cpp|h)$"" in their names","find . -regextype posix-egrep -regex "".+\.(c|cpp|h)$"" -print0 | xargs -0 -n 1 ls" "List all files/directories under current directory matching the posix-egrep type regex "".+\.(c|cpp|h)$"" in their names excluding the files that contain 'generated' or 'deploy' in their paths",find . -regextype posix-egrep -regex '.+\.(c|cpp|h)$' -print0 | grep -vzZ generated | grep -vzZ deploy | xargs -0 ls -1Ld "List all files/directories under current directory matching the posix-egrep type regex "".+\.(c|cpp|h)$"" in their names excluding the paths */generated/* and */deploy/*",find . -regextype posix-egrep -regex '.+\.(c|cpp|h)$' -not -path '*/generated/*' -not -path '*/deploy/*' -print0 | xargs -0 ls -L1d List all files/directories under current directory with 'FooBar' in their paths ensuring white space safety,find . -print0 | grep --null 'FooBar' | xargs -0 "List all files/directories under current directory with their inode numbers, disk space, permission, number of hard links, user name, group name, size, status change time in Y-m-d format and name filed, then write the outptut to /tmp/files.txt","find . -type f -fprintf /tmp/files.txt ""%i,%b,%M,%n,%u,%g,%s,%CY-%Cm-%Cd %CT,%p\n""" List all files/directories with spaces in their names under ~/Library directory,find ~/Library -name '* *' -exec ls {} \; List all files and folders in the current working directory,ls `pwd`/* "List all files in the ""test"" directory tree except those with '/invalid_dir/' in the pathnames",find test -print | grep -v '/invalid_dir/' "List all files in the /hometest directory tree whose names are ""Trash"", and their sizes",find /hometest -name Trash -exec ls -s {} \; List all files in /var/www and below that have changed in the last 10 minutes,"find /var/www -cmin -10 -printf ""%c %pn""" Lists all files in a '/home/dreftymac/' folder and subfolders without recursion.,ls /home/dreftymac/* "List all files in a current folder, separating names with comma","ls -1 | tr '\n' ','" "Lists all files in a current folder, separating names with comma.","ls -1 | paste -sd "","" -" "List all files in a current folder, separating names with semicolon",ls -1b | tr '\n' ';' List all files in the current directory tree invoking xargs only once,find . -type f -print | xargs ls -l "List all files in the current directory tree that were last modified between ""mar 03, 2010 09:00"" and ""mar 11, 2010""","find -newermt ""mar 03, 2010 09:00"" -not -newermt ""mar 11, 2010"" -ls" List all files in the current directory tree that were last modified in March 2007,"find ! -newermt ""apr 01 2007"" -newermt ""mar 01 2007"" -ls" List all files in the current directory tree that were last modified more than 60 minutes ago,find -mmin +60 "List all files in the current directory tree that were last modified on the 3rd of March, 2010 or later","find -newermt ""mar 03, 2010"" -ls" List all files in the current directory tree that were last modified yesterday or later,find -newermt yesterday -ls List all files in the current directory tree that were modified less than 60 minutes ago,find . -mmin -60 |xargs ls -l "List all files in current directory whose name or file type description contains the word ""ASCII"".",file * | grep ASCII List all files in entire file system that are newer than the file $newerthan and older than the file $olderthan in regards of modification time,"find / -type f -name ""*"" -newermt ""$newerthan"" ! -newermt ""$olderthan"" -ls" list all the files in the file system excluding proc folder and excluding symbolic links which have write permission for the user,find / -path /proc -prune -o -perm -2 ! -type l -ls List all files in maximum 2 levels down the current directory,find . -maxdepth 2 -type f -exec ls -l {} \; List all your files including everything in sub-directories,find ~ List all files matching regular expression '*foo*' in a human-readable form,find . -name '*foo*' -exec ls -lah {} \; "List all files named ""filename"" from the current directory tree, ignoring directory ""FOLDER1""",find . -name FOLDER1 -prune -o -name filename -print "List all file paths under the current directory with case insensitive name "".note"" in reverse alphabetic order",find . -iname '.note' | sort -r List all files that matches both the case insensitive patterns *$1* and *$2* under /home/musicuser/Music/ directory,"find /home/musicuser/Music/ -type f -iname ""*$1*"" -iname ""*$2*"" -exec echo {} \;" Lists all files that matches path pattern with wildcards.,ls -l /lib*/ld-linux*.so.2 List all files under and below the directory given as variable $ARCH1,find $ARCH1 -ls List all files under current directory,find . -type f | xargs ls list all files under the current directory called cookies.txt,find -name cookies.txt List all files under current directory matching the regex '.*(c|h|cpp)$',find -E . -type f -regex '.*(c|h|cpp)$' -exec ls {} \; List all files under current directory matching the regex '.*\.\(c\|h\|cpp\)',find . -type f -regex '.*\.\(c\|h\|cpp\)' -exec ls {} \; List all files under current directory that are greater than 10MB in size,find . -size +10M -exec ls -ld {} \; List all files under current directory with white space safety in their paths,find . -type f -print0 | xargs -0 ls List all files under the current working directory tree,find $(pwd)/ -type f "List all files under the current working directory with name "".htaccess""",find `pwd` -name .htaccess List all files with their modification time in entire file system that are newer than the file $newerthan and older than the file $olderthan in regards of modification time and sort them according to file modification time,"find / -type f -name ""*"" -newermt ""$newerthan"" ! -newermt ""$olderthan"" -printf ""%T+\t%p\n"" | sort" List all files/folders in current directory by separating them with spaces,"ls | tr ""\n"" "" """ List all hidden regular files from the current directory separating them with zeroes,find . -maxdepth 1 -type f -name '.*' -printf '%f\0' list all javascipts file expect files under proc folder,find . -type d -name proc -prune -o -name '*.js' list all javascipts file which whole name does not contain excludeddir or excludedir2 or excludedir3,find . -name '*.js' | grep -v excludeddir | grep -v excludedir2 | grep -v excludedir3 "list all js files under currect directory exculde the directory which path contain ""/path/to/search/exclude_me"" or name isexclude_me_too_anywhere",find /path/to/search \ -type d \ \( -path /path/to/search/exclude_me \ -o \ -name exclude_me_too_anywhere \ \) \ -prune \ -o \ -type f -name '*\.js' -print List all leaf directories of the current directory tree,find . -type d -links 2 Lists all manual pages.,apropos -r '.*' List all nfs mounts,mount -l -t nfs4 List all non-empty files under under current directory,find . -type f ! -size 0 List all of the subdirectories in the current directory with no trailing slash.,ls -d */ | cut -f1 -d'/' List all processes with detailed information,ps -ef List all regular files in /var/www and below that have changed in the last 10 minutes,"find /var/www -cmin -10 -type f -printf ""%c %pn""" List all regular files in and below the home directory that have been modified in the last 90 minutes,find ~ -type f -mmin -90 | xargs ls -l List all regular files in and below the home directory that were modified more than 5 years ago,find ~ -type f -mtime +1825 |xargs -r ls -l List all regular files in the current directory tree modified within the last 24 hours,find . -mtime 0 -type f -ls List all regular files in entire file system,find / -type f -exec echo {} \; "List all regular files matching the name pattern ""$1*"" (where $1 is a positional parameter) under '/usr', '/bin', '/sbin' and '/opt' directory tree","find /usr /bin /sbin /opt -name ""$1*"" -type f -ls" List all regular files modified more than 61 days,find -type f -mtime 61 -exec ls -ltr {} \; List all regular files under current directory (not white space sage),find . -type f -print | xargs -n 1 List all regular files under current directory ensuring white space safety,find . -type f -print0 | xargs -0 -n 1 "list all regular files under the directory ""$directory""",find $directory -type f -name '*' list all regular files which path is not dir1 or dir2,"find ! -path ""dir1"" ! -path ""dir2"" -type f" list all running jobs,jobs Lists all subdirectories in the current directory,ls -d -- */ ### more reliable GNU ls Lists all subdirectories in current directory with a trailing slash,ls -d ./*/ ### more reliable BSD ls Lists all subdirectories in the current directory with the trailing slash removed,"ls -d1 */ | tr -d ""/""" Lists all top-level files in a '/home/dreftymac/' folder.,ls /home/dreftymac/ List all unique parent directories of .class files found under the current directory,find -name '*.class' -printf '%h\n' | sort -u List all variables (names and values) whose name or value contains X.,"env | grep "".*X.*""" List an empty environment (prints nothing),env -i "List any line in ""f1"" or ""f2"" which does not appear in the other and delete all tab characters in the output",comm -3 <(sort -un f1) <(sort -un f2) | tr -d '\t' List characters from standard input showing backslash escapes for non-displayables,od -cvAnone -w1 "List the combined path of the current working directory and ""file.txt""","ls ""`pwd`/file.txt""" "List common files in directories ""1"" and ""2""",cat <(ls 1 | sort -u) <(ls 2 | sort -u) | uniq -d List content of 'myfile' in a subshell and returns output to parent shell,$(cat myfile) Lists content of compressed text file.,zless MyFile Lists content of the current folder.,$ ls "List the current directory recursively ignoring the ""dir1"" subdirectory",find . -path ./dir1 -prune -o -print "List the current directory recursively ignoring the ""dir1"" subdirectory's content",find . -print -name dir -prune List current user's crontab.,crontab -l list the details of all the directories in the current folder,find . -type d -exec ls -ld {} \; List the directory contents of the current working directory,echo $(ls $(pwd)) list directories owned by group ID 100 in the file system,find / -type d -gid 100 List the directory paths of all *.ext (case insensitive) files under /path directory,"find /path -type f -iname ""*.ext"" -printf ""%h\n""" List each directory in the current directory prefixed with its disk usage in human readable format and sorted from smallest to largest,du -sh */ | sort -n List each file or directory in the current directory prefixed with its filesize in bytes and sorted from smallest to largest,du -s * | sort -n List each file or directory in the current directory prefixed with its filesize in MB and sorted from smallest to largest,du -smc * | sort -n List each subdirectory name composing the current working directory,pwd | cut -b2- | tr '/' '\n' "List each unique case insensitive character in ""file"" prefixed by number of occurrences",grep -o . file | sort -f | uniq -ic "List each unique case insensitive character in ""file"" prefixed by number of occurrences and sorted from most frequent to least frequent",grep -o . filename | tr '[:upper:]' '[:lower:]' | sort | uniq -c | sort -nr "List each unique character in ""file"" prefixed by number of occurrences",grep -o . file | sort | uniq -c "List each unique character in ""file"" prefixed by number of occurrences and sorted from most frequent to least frequent",grep -o . filename | sort | uniq -c | sort -nr "List the entire cron job list of user ""apache"".",crontab -u apache -l List files/directories at least three levels down the directory tree,"find / -mindepth 3 -name ""*log""" List file contents of compressed file 'compressed.tar.gz',gzip -l compressed.tar.gz Lists file descriptors of a current process.,ls -l /proc/self/fd/ "list files found under the current directory ending in ""txt"" or ending in ""html""",find . -name '*.txt' -o -name '*.html' "List the files from the current directory tree that contain lines matching regular expression '^From:.*unique sender', ignoring ~/src and ~/bin",find . -name bin -prune -o -name src -prune -o -type f -print | xargs egrep -il '^From:.*unique sender' List the files from the current directory tree that contain lines matching regular expression '^Subject:.*unique subject',find . -type f -print | xargs grep -il '^Subject:.*unique subject' "List the files in the /etc directory tree containing text ""old1.old2.co.com""",find /etc -type f -print | xargs grep -il old1\.old2\.co\.com List the files in the /etc directory tree containing text '128.200.34.',find /etc -type f -print | xargs grep -il '128\.200\.34\.' List files in the current directory,find . \( ! -name . -prune \) List files in the current directory and below,find -ls List files in the current directory and below except for GIT files,find . -not -iwholename '*/.git/*' "List files in directory ""one"" and ""two"" that do not exist in the other",sort <(ls one) <(ls two) | uniq -u "List files in directory ""one"" that exist in directory ""two""",sort <(ls one) <(ls two) | uniq -d List files larger than 10MB under /var/log,find /var/log -size +10M -ls List files larger than 10MB under /var/log /tmp that haven't changed in a month,find /tmp /var/tmp -size +30M -mtime 31 -ls "List files named ""accepted_hits.bam"" in the current directory tree prefixing their names with ""somecommand""","find `pwd` -name ""accepted_hits.bam"" | xargs -i echo somecommand {}" "List files under $CURR_DIR which were modified, accessed or whose status were changed $FTIME ago replacing the $CURR_DIR path string to './'","find ${CURR_DIR} -type f \( -ctime ${FTIME} -o -atime ${FTIME} -o -mtime ${FTIME} \) -printf ""./%P\n""" List files under current directory according to their size in descending order,find . -type f -exec ls -s {} \; | sort -n -r List (in long list format with inode number) the file under the current directory that has the oldest modification time,find . -type f -ls | sort +7 | head -1 list the files with a name ending with '.mp3' or '.jpg' and beginning with 'foo',find . \( -name '*.mp3' -o -name '*.jpg' \) -name 'foo*' -print List files with C-style escape sequences for non-alphanumeric characters,ls -b List first 20 files under current directory,find . -type f |xargs ls -lS |head -20 List first 5 files named 'something' that are found under current directory,find . -name something -print | head -n 5 List the full path of each directory under the current working directory,"tree -dfi ""$(pwd)""" "List in detail all *.txt files in the current directory tree, omitting paths ./Movies/*, ./Downloads/*, and ./Music/*","find . -type f -name ""*.txt"" ! -path ""./Movies/*"" ! -path ""./Downloads/*"" ! -path ""./Music/*"" -ls" "list in long format all files from / whose filename ends in ""jbd"", not descending into directories that are not readable while searching, and not descending into directories on other filesystems",find / -mount \! -readable -prune -o -path /dev -prune -o -name '*.jbd' -ls List jobs and their process ids and print them by replacing newline with '^',"joblist=$(jobs -l | tr ""\n"" ""^"")" List the largest file in long list format of all the files under the current directory,find . -type f -ls | sort -nrk7 | head -1 #unformatted "List the last entry of the numerically sorted list of all files and folders under ""/foldername""",find /foldername | sort -n | tail -1 "List the last modified file under ""$DIR""","find $DIR -type f -printf ""%T@ %p\n"" | sort -n | cut -d' ' -f 2 | tail -n 1" List level 2 subdirectories of the current directory,find . -mindepth 2 -maxdepth 2 -type d -ls Lists long format information about file '/bin/echo'.,ls -l /bin/echo List the names of all file.ext files/directories under present working directory,"find `pwd` -name ""file.ext"" -printf ""%f\n""" List the names of the directories in current directory without going into sub-directories,find . -maxdepth 1 -mindepth 1 -type d -printf '%f\n' List non-hidden regular files in the current directory tree that were last modified more than 500 days ago,find . -type f -not -name '.*' -mtime +500 -exec ls {} \; "List the number of occurrences of each unique character in ""The quick brown fox jumps over the lazy dog"" sorted from most frequent to least frequent","echo ""The quick brown fox jumps over the lazy dog"" | grep -o . | sort | uniq -c | sort -nr" List only the non-hidden empty files only in the current directory.,"find . -maxdepth 1 -empty -not -name "".*""" "List path/filename of all PHP files under current directory whose file type description or path/name contains ""CRLF""","find . -type f -iname ""*.php"" -exec file ""{}"" + | grep CRLF" list PID of a group leader,jobs -lp List recursively all files and directories in /var/www,find /var/www list regular files under the current directory ending in .mbox putting a null between each file found,find . -type f -wholename \*.mbox -print0 List subdirectories in the current directory,"find . -maxdepth 1 -type d -exec ls -ld ""{}"" \;" "list symbolic links under the directory ""$directory""",find $directory -type l "list txt files older than 5 days or html files of any age, null separated",find . \( -name '*.txt' -mtime +5 -o -name '*.html' \) -print0 "lists txt or html files older than 5 days, null separated",find . \( -name '*.txt' -o -name '*.html' \) -mtime +5 -print0 List the unique file extensions of all files under the current directory,find . -type f | grep -o -E '\.[^\.]+$' | sort -u List unique MD5 digests of all files in the current directory ending in .txt,md5sum *.txt | cut -d ' ' -f 1 | sort -u List the unique parent directories of all .class files found in the entire filesystem,find / -name *.class -printf '%h\n' | sort --unique "List the unique parent directories of all .class files found under ""/root_path""","find /root_path -type f -iname ""*.class"" -printf ""%h\n"" | sort -u" "List the unique second ""/"" delimited field of every line from standard input prefixed by the number of occurrences",cut -d/ -f1-2 | cut -d/ -f2- | sort | uniq -c "List unique series of 3 characters in file ""$1"" prefixed by the number of occurrences and sorted from most frequent to least frequent","fold -w3 ""$1"" | sort | uniq -c | sort -k1,1nr -k2" "List the unique tab delimited field number ""$FIELD"" in all files, prefix with the number of occurrences, sort from most frequent to least frequent",cut -f $FIELD * | sort| uniq -c |sort -nr List the z* links in the /usr/bin directory and the file to which it points to,"find /usr/bin -type l -name ""z*"" -exec ls -l {} \;" List the z* links in the /usr/bin directory with inode information and the file to which it points to,"find /usr/bin -type l -name ""z*"" -ls" Load keybindings from a file ~/.inputrc,bind -f ~/.inputrc "Locates 'gcc' executable file, strips last two parts of the full path, adds '/lib' to the end and saves result in 'libdir' variable.",libdir=$(dirname $(dirname $(which gcc)))/lib Locate all `readme.txt' files under the home directory,find ~ -name readme.txt Locate all *.csv files under the current directory tree,"find . -name ""*.csv""" Locate all *.csv files under the current directory tree separating the file names with zeroes,"find . -name ""*.csv"" -print0" Locate all *.csv regular files under the current directory tree,"find . -type f -name ""*.csv""" "Locate all files ""needle.txt""","find . -name ""needle.txt""" "Locate all files in the current directory and below that have ""testfile"" in their names regardless of the case","find -iname ""*TESTFILE*""" "Locate all files in the current directory and below that do not have ""testfileasdf"" in their names","find -not -name ""*testfileasdf*""" Locate all files named 'restore.php' in the current directory and 3 levels below,find . -maxdepth 4 -name 'restore.php' Locate all the hard links of file `passwd',find / -samefile passwd Locate all OGG files that reside in the home directory and have not been accessed in the past 30 days,find $HOME -iname '*.ogg' -atime +30 Locates bzip2 command in a system.,which bzip2 "Locate file ""file1""",find -name file1 Locate logo.gif in the /var/www directory tree,find /var/www -name logo.gif Locate OGG files under the home directory smaller than 100 megabytes,find $HOME -iname '*.ogg' -type f -size -100M Locate symlinks in directory trees lpi104-6 and research/lpi104-6,find lpi104-6 research/lpi104-6 -type l "Log in as ""middleuser"" with key ""./middle_id.pem"" and forward port 22 on host ""middle.example.org"" to port 2222 on localhost",ssh -i ./middle_id.pem -R 22:localhost:2222 middleuser@middle.example.org "Log in using key file ""./device_id.pem"" as user ""deviceuser"" on host ""middle.example.org"" and port 2222",ssh -i ./device_id.pem -p 2222 deviceuser@middle.example.org "Log into ""ubuntu@ec2-XX-XXX-XXX-XXX.us-west-2.compute.amazonaws.com"" using identity file ""~/path/mykeypair.pem""",ssh -i ~/path/mykeypair.pem ubuntu@ec2-XX-XXX-XXX-XXX.us-west-2.compute.amazonaws.com login as user postgres,sudo su -l oracle login as user root,su -l Login in 'whatever.com' as user 'whoever' with X11 forwarding to enable GUI programs on remote to be run,ssh -X whoever@whatever.com "Login to ""$HOST"" and create file ""$FILE_PATH"" if it does not exist","ssh -q $HOST ""[[ ! -f $FILE_PATH ]] && touch $FILE_PATH""" "Login to ""host"" using identity file ""id_rsa""",ssh -i id_rsa host "Look for ""testfile.txt"" in the ""/"" directory and 1 level below",find / -maxdepth 2 -name testfile.txt Look for `regexp' in binary files,find . -type f -print|xargs file|grep -i text|cut -fl -d: | xargs grep regexp Look for *.jpg files on the system,find / -name “*.jpg” Look for all files whose names match pattern 'my*',find / -name 'my*' Look for any files that were modified 2-5 days ago,find -mtime +2 -mtime -5 "(Linux specific) Look for any instance of ""HIGHMEM"" in the current kernel's compile-time config file.",grep “HIGHMEM” /boot/config-`uname -r` "(Linux-specific) Look for any instance of ""ds1337"" in the modules.alias file matching current kernel release",grep ds1337 /lib/modules/`uname -r`/modules.alias Look for directory `Cookbook',find -name Cookbook -type d Look for file `Chapter1' under /usr and /home,find /usr /home -name Chapter1 -type f Look for files in the current directory tree to which the group users have full access,find . -perm -070 -print Look for files whose names begin with letters a-j,"find / -name ""[a-j]*"" -print" Look for files with the name 'search' under current directory,"find . -name ""search""" "Look for regular files in the directory trees 'deferred', 'active', 'maildrop', 'incoming' under /var/spool/postfix/","find /var/spool/postfix/{deferred,active,maildrop,incoming}/ -type f" Look in /home/dm and below for files with 'uniform' in their names,"find /home/dm -name ""*uniform*""" "Look in the current directory and below for all files whose names begin with either ""my"" or ""qu""","find . \( -name ""my*"" -o -name ""qu*"" \) -print" Look up for 'myip.opendns.com' in server 'resolver1.opendns.com' and save the terse output in 'IP' variable,IP=$(dig +short myip.opendns.com @resolver1.opendns.com) "Lookup information for user ""vivek""",finger vivek Lookup information of the current user,finger `whoami` "Make ""bar"" executable",chmod +x bar "Make ""file.sh"" executable",chmod +x file.sh "Make $WEEKS_TO_SAVE+1 directories named ""weekly.N"" where N ranges from 0 to ""$WEEKS_TO_SAVE""","mkdir -p $(seq -f ""weekly.%.0f"" 0 $WEEKS_TO_SAVE)" "Make 3 directories named ""$HOME/Labs/lab4a/folder"" followed by a 3 width zero padded number from 1 to 3","mkdir $(seq -f ""$HOME/Labs/lab4a/folder%03g"" 3)" Make a copy of the entire contents of dir1 in the Pictures directory located in the user's home directory.,cp -r dir1/ ~/Pictures/ "Make a new directory ""new-dir"" in every directory in the current directory tree","find . -type d | xargs -I ""{x}"" mkdir ""{x}""/new-dir" "Make a new directory ""new-dir"" in every directory in the current working directory non-recursively","find . -maxdepth 1 -type d | xargs -I ""{x}"" mkdir ""{x}""/new-dir" "Make a POST request to ""http://dweet.io/dweet/for/cycy42"" with data ""PiIP"" set to the IP address of the system","wget --post-data=""PiIP=$(hostname -I)"" http://dweet.io/dweet/for/cycy42" Make all the bugzilla subdirectories permission 775,find bugzilla -type d -exec chmod 775 {} \; Make all directories in the current directory tree accessible to anybody,find . -type d -print0 | xargs -0 chmod go+rx "Make directories ""./es/es_MX.utf8/LC_MESSAGES"" as needed and do not error if it exists",mkdir --parents ./es_MX.utf8/LC_MESSAGES "Make directory ""/etc/cron.15sec""",mkdir /etc/cron.15sec "Make directory ""/etc/cron.5minute""",mkdir /etc/cron.5minute "Make directory ""/tmp/googleTestMock""",mkdir /tmp/googleTestMock "Make directory ""/tmp/imaginary/"" on remote host before archiving ""file"" to ""user@remote:/tmp/imaginary/""",rsync -aq --rsync-path='mkdir -p /tmp/imaginary/ && rsync' file user@remote:/tmp/imaginary/ "Make directories ""3/foo"", ""3/bar"", and ""3/baz""",mkdir 3/foo 3/bar 3/baz "Make directories ""Labs/lab4a/folder1"", ""Labs/lab4a/myfolder"", and ""Labs/lab4a/foofolder""","mkdir Labs/lab4a/{folder1,myfolder,foofolder}" "Make directories ""a/b/c"" as needed without causing an error if it exists",mkdir -p a/b/c "Make directory ""certs""",mkdir certs/ "Make directory ""dir1""",mkdir dir1 "Make directory ""dirname"" with permissions set to 777",mkdir -m 777 dirname "Make directory ""foo""",mkdir foo "Make directories ""foo"" and ""bar""",mkdir foo bar "Make directories ""mnt"" and ""point""",mkdir mnt point "Make directories ""project/{lib/ext,bin,src,doc/{html,info,pdf},demo/stat/a}"" as needed and do not cause an error if it exists","mkdir -p project/{lib/ext,bin,src,doc/{html,info,pdf},demo/stat/a}" "Make directory ""tata""",mkdir tata "Make directory ""temp""",mkdir temp Make directory and parents as needed for each unique mime type in the current directory,mkdir -p `file -b --mime-type *|uniq` "Make directory and parents as needed to ""$FINALPATH""","mkdir -p ""$FINALPATH""" "Make directories and parents as needed to ""${raw_folder}"" and ""${split_folder}""",mkdir -p ${raw_folder} ${split_folder} "Make directory and parents as needed to ""~/temp/bluecove/target/""",mkdir -p ~/temp/bluecove/target/ "Make directories as needed in ""dest"" for every directory found under ""src/""",find src/ -type d -exec mkdir -p dest/{} \; Make directory expanded by $dir variable,mkdir $dir "Make directories in ""/TARGET_FOLDER_ROOT/"" for each "".mov"" file in the current directory tree","find . -type f -iname \*.mov -printf '%h\n' | sort | uniq | xargs -n 1 -d '\n' -I '{}' mkdir -vp ""/TARGET_FOLDER_ROOT/{}""" "Make directory named in variable ""archive"" with "".tar*"" stripped from the end",mkdir ${archive%.tar*} "Make directories to ""$TARGET_PATH"" as needed without causing an error if it exists","mkdir -p ""$TARGET_PATH""" "Make directories to ""/my/other/path/here"" as needed",mkdir -p /my/other/path/here "Make directories to ""/my/other/path/here/"" as needed",mkdir -p /my/other/path/here/ "Make directories to ""/tmp/boostinst"" as needed and print a message for each created directory",mkdir -pv /tmp/boostinst "Make directories to file ""/full/path/to/file.txt"" as needed",mkdir -p `dirname /full/path/to/file.txt` Make DNS lookup for hostname stackoverflow.com,dig stackoverflow.com Make DNS lookup requests for domain list in file '/path/to/host-list.txt',dig -f /path/to/host-list.txt Make regular files from debian/fglrx-amdcccle/usr/lib/fglrx/bin/ executable for all,find debian/fglrx-amdcccle/usr/lib/fglrx/bin/ -type f | xargs chmod a+x "Make sure the file "".bash_profile"" exists in current directory, update its timestamp to current date/time.",touch .bash_profile To match only hidden dot directories,"find /nas01/backups/home/user/ -type d -name "".*"" -print0 -exec ls -lrt {} \;" Md5sum the last 5 files in /directory1/directory2/,find /directory1/directory2/ -maxdepth 1 -type f | sort | tail -n 5 | xargs md5sum "Merge already sorted files ""*.txt"" and split the result into files of at most 1000000 lines each with a numeric suffix and a prefix ""output""",sort -m *.txt | split -d -l 1000000 - output "Merge already sorted files ""file*.txt"" and split the result into files of at most 100000 lines each with a prefix ""sorted_file""",sort --merge file*.txt | split -l 100000 - sorted_file "Merge already sorted files in the current directory ending in "".$suffix""",sort -m *.$suffix "Merge already sorted files in the current directory starting with ""_tmp"" and write the output to ""data.tsv.sorted""",sort -m _tmp* -o data.tsv.sorted "Merge colon-separated information from file1 and file2 where second field of both files matches, sorting the result based on this field - for each line, output: first 3 fields of first file, followed by first 3 fields of second file.","join -o 1.1,1.2,1.3,2.1,2.2,2.3 -j2 <(sort -k2 file1) <(sort -k2 file2)" "Merge colon-separated information from standard input and file1.txt where the first field of both files matches, print unpairable lines from both files, replace missing fields with ""no-match"", and output the second field from standard input and the second and third field from file1.txt","join -t, -o 1.2,2.2,2.3 -a 1 -a 2 -e 'no-match' - <(sort file1.txt)" "Merge colon-separated information from standard input and file1.txt where the first field of both files matches, print unpairable lines from standard input, replace missing fields with ""no-match"", and output the second field from standard input and the second and third field from file1.txt","join -t, -o 1.2,2.2,2.3 -a 1 -e 'no-match' - <(sort file1.txt)" "Merge content of decompressed files ""$part0"", ""$part1"", and so on",sort -m <(zcat $part0 | sort) <(zcat $part1 | sort) ... Merge data in file1 and file2 where second field is common in both files,join -j2 <(sort -k2 file1) <(sort -k2 file2) Merge each line of standard input into a single comma separated line,"paste -s -d"",""" Merge each non-blank line of standard input into a single comma separated line,"grep -v '^$' | paste -s -d"","" -" Merge files 'text.txt' and 'codes.txt' by outputting any lines whose second field in the first matches the first field in the second.,join -1 2 -2 1 text.txt codes.txt "Merge file1 and file2 by outputting all lines where the first comma-separated field of both files matches, followed by extra fields in file1 and those in file2","join -t, <(sort file1) <(sort file2)" "Merge the first ""$lc"" lines of ""current.txt"" and the last ""$lc"" lines of ""current.txt"" and display the result as a comma separated table","paste <(head -""$lc"" current.txt) <(tail -""$lc"" current.txt) | column -t -o," Merge lines whose first comma-separated field in file 'in1' also appears as a first comma-separated in file 'in2' - both files must be sorted.,"join -t, in1 in2" "Merge lines whose first comma-separated field in file 'in1' also appears as a first comma-separated in file 'in2' - both files must be sorted, and the output format of each line will be: first field of in1, second field of in2, and third field of in2.","join -t, -o 1.1,1.2,2.3 in1 in2" "Monitor 3 specific process IDs: 18884, 18892, and 18919 (GNU specific)",top -p 18884 -p 18892 -p 18919 (GNU specific) Monitor all processes belonging to user 'abc' in batch mode (not accepting user input) and displaying info each 30 seconds up to 10 times.,top -u abc -d 30 -b -n 10 "(GNU specific) Monitor process activity, starting with the last remembered ""c"" state reversed: typing ""c"" toggles between using process names or full command lines.",top -c "Mount ""/dev/shm"" using /etc/fstab entry",mount /dev/shm "Mount ""/path/to/device"" on ""/path/to/mount/location"" as a loop back device",mount /path/to/device /path/to/mount/location -o loop "Mount ""/path/to/device"" on ""/path/to/mount/location"" as a vfat filesystem and a loop back device",mount /path/to/device /path/to/mount/location -o loop -t vfat "Mount ""/tmp/loop.img"" on ""/mnt/image"" as a loop back device",mount /tmp/loop.img /mnt/image -o loop "Mount ""/windows"" using /etc/fstab entry",mount /windows "Mount ""cpuset"" filesystem on ""/cpuset/""",mount -t cpuset none /cpuset/ "Mount ""device_name"" on ""mount_point""",sudo mount device_name mount_point "Mount ""ext4"" filesystem ""/dev/xvdf"" on ""/vol""",sudo mount /dev/xvdf /vol -t ext4 "Mount ""ext4"" filesystem ""/dev/xvdf1"" on ""/vol""",sudo mount /dev/xvdf1 /vol -t ext4 "Mount the ""linprocfs"" filesystem on ""/proc""",mount -t linprocfs none /proc "Mount ""ntfs-3g"" filesystem ""/dev/mapper/myvolume"" on ""/media/volume""",mount -t ntfs-3g /dev/mapper/myvolume /media/volume "Mount ""proc"" file system on ""/var/snmp3/proc""",mount -t proc none /var/snmp3/proc "Mount ""tmpfs"" filesystem to ""/path/to/dir""",mount none -t tmpfs /path/to/dir "Mount the ""vboxsf"" filesystem ""D:\share_folder_vm"" on ""\share_folder""",sudo mount -t vboxsf D:\share_folder_vm \share_folder "Mount the ""vboxsf"" filesystem ""myFileName"" on ""~/destination""",sudo mount -t vboxsf myFileName ~/destination "Mount ""vfat"" filesystem ""/dev/sda7"" to ""/mnt/my_partition"" with read and write permission, umask of files and directories set to ""0000"", and save in fstab and allow ordinary users to mount","sudo mount -t vfat -o rw,auto,user,fmask=0000,dmask=0000 /dev/sda7 /mnt/my_partition" Mount a read only ntfs filesystem,mount -t ntfs Mount all filesystems in /etc/fstab,sudo mount -a "Mount the directory ""/etc"" on ""/tmp/sarnold/mount_point/""",mount -obind /etc /tmp/sarnold/mount_point/ "Mount image ""test"" to loop device ""/dev/loop0""",sudo mount -o loop /dev/loop0 test "Mount partition with label ""WHITE"" on ""/mnt/WHITE"" with read and write permission",mount -L WHITE /mnt/WHITE -o rw "Mount remote ""cifs"" filesystem ""//192.168.0.111/serv_share"" on ""/mnt/my_share"" with username ""me"" and password ""mine""","sudo mount -t cifs -o username=me,password=mine //192.168.0.111/serv_share /mnt/my_share" "Mount remote ""cifs"" filesystem ""//server/source/"" on ""/mnt/source-tmp"" with username ""Username"" and password ""password""","mount -t cifs //server/source/ /mnt/source-tmp -o username=Username,password=password" "Mount remote ""smbfs"" filesystem ""//username@server/share"" on ""/users/username/smb/share"" as soft",mount -t smbfs -o soft //username@server/share /users/username/smb/share "Move ""$PHANTOM_JS"" to ""/usr/local/share"" directory",sudo mv $PHANTOM_JS /usr/local/share "Move ""/usr/bin/openssl"" to directory ""/root/""",mv /usr/bin/openssl /root/ "Move ""caniwrite"" without clobbering into ""/usr/local/bin""",mv -nv caniwrite /usr/local/bin "Move ""file.txt"" to docker container ""$CONTAINER_ID"" in path ""/var/lib/docker/devicemapper/mnt/$CONTAINER_ID/rootfs/root/file.txt""",mv -f file.txt /var/lib/docker/devicemapper/mnt/$CONTAINER_ID/rootfs/root/file.txt "Move ""file.txt"" to docker container ""$COUNTAINER_ID"" in path ""/var/lib/docker/aufs/mnt/$CONTAINER_ID/rootfs/root/file.txt""",mv -f file.txt /var/lib/docker/aufs/mnt/$CONTAINER_ID/rootfs/root/file.txt "Move ""file1"", ""file2"", ""..."" to ""target"" directory",mv -t target file1 file2 ... "Move ""phantomjs-1.8.1-linux-x86_64.tar.bz2"" to ""/usr/local/share/"" directory",sudo mv phantomjs-1.8.1-linux-x86_64.tar.bz2 /usr/local/share/. "Move ""tobecopied/tobeexclude"" to ""tobeexclude""",mv tobecopied/tobeexclude tobeexclude; "Move ""tobecopied/tobeexcluded"" to the current directory",mv tobecopied/tobeexcluded . Move *wp-admin/index.php files under /var/www/ to ./index_disabled,find /var/www/ -path '*wp-admin/index.php' -exec mv {} $(dirname {})/index_disabled Move all *.data files/directories in $S directory to $S/data/ directory,"find ""${S}"" -name '*.data' -exec mv '{}' ""${S}/data/"" \;" Move all *.emlx files/directories under /path/to/folders/ to ./Messages/ directory,find /path/to/folders/ -name \*.emlx -print0 | xargs -0 -I {} mv {} ./Messages/ Move all *.mp4 files from directory /foo/bar and its subdirectories to /some/path,find /foo/bar -name '*.mp4' -exec mv -t /some/path {} + Move all *.php~ (case insensitive) files under current directory to /mydir,"find . -iname ""*.php~"" -exec mv ""{}"" /mydir +;" Move all the .c files from the current directory tree to temp/,"find . -name ""*.c"" -print0 | xargs -0 -n1 -I '{}' mv '{}' temp" Move all directories from the `sourceDir' directory tree to the `destDir' directory,"find sourceDir -mindepth 1 -type d -exec mv -t destDir ""{}"" \+" "Move all directories in the current directory that match ""some-dir"" to ""x/""","find ./ -maxdepth 1 -name ""some-dir"" -type d -print0 | xargs -0r mv -t x/" Move all Emacs backup files from the current directory tree to ~/backups/,find . -name '*~' -print 0 | xargs -0 -I % cp % ~/backups "Move all files and directories in the current directory to ""$TARGET"" excluding files matching ""$EXCLUDE""",ls -1 | grep -v ^$EXCLUDE | xargs -I{} mv {} $TARGET "Move all files and directories in the current directory to ""/tmp/blah/""",mv * /tmp/blah/ "Move all files and directories in the current directory to ""somewhere/""",mv `ls` somewhere/ "Move all files and directories matching ""*.boo"" in the current directory to ""subdir""",mv `ls *.boo` subdir "Move all files and directories not starting with ""l"" in ""/mnt/usbdisk"" to ""/home/user/stuff/.""",mv /mnt/usbdisk/[^l]* /home/user/stuff/. Move all files/directories under current directory to ~/play,find . -exec mv '{}' ~/play/ \; "Move all files from ""src/test/"" to ""dest"" displaying progress",rsync -a --progress --remove-source-files src/test/ dest Move all files from the `sourceDir' directory tree to the `destDir' directory,"find sourceDir -mindepth 1 -exec mv ""{}"" --target-directory=destDir \;" "Move all files in ""/path/subfolder"" to ""/path"" without clobbering any destination files",find /path/subfolder -maxdepth 1 -type f -name '*' -exec mv -n {} /path \; move all the files in the current folder to temp folder and search atleast in one subfolder,find . -mindepth 1 -exec mv -t /tmp {} + "Move all files including hidden files and excluding "".."" in ""/path/subfolder/"" to ""/path/""","mv /source/path/{.[!.],}* /destination/path" "Move all files including hidden files in ""/path/subfolder/"" to ""/path/""","mv /path/subfolder/{.,}* /path/" Move all files listed in $i file to dir.$count directory,cat $i | xargs mv -t dir.$count "Move all files matching patterns ""*.old"", "".old"", "".*.old"" from the current directory to directory ""../old/""",find . ! -name . -prune -name '*.old' -exec mv {} ../old/ \; "Move all files not matching ""Tux.png"" in ""~/Linux/Old"" to ""~/Linux/New/"" using zsh with ""EXTENDED_GLOB""",mv ~/Linux/Old/^Tux.png ~/Linux/New/ "Move all files that contain ""Subject: \[SPAM\]"" to ""DIR""",grep -L -Z -r 'Subject: \[SPAM\]' . | xargs -0 -I{} mv {} DIR "Move all hidden files in ""/path/subfolder/"" to ""/path/""",mv /path/subfolder/.* /path/ "Move all hidden files in ""wordpress"" to the current directory",mv wordpress/.* . move all the html files from current folder to another folder and if a symbolic link is found copy the original referenced file and not the link,find . -follow -iname '*.htm' -print0 | xargs -i -0 mv '{}' ~/webhome Move each of the 'm?' directories in $path_to_folders to another directory whose name is constituted by appending .mbox to each directory name and create a directory named Messages in this directory then move all *.emlx files into this directory,"find ""$path_to_folders"" -name 'm?' -type d -exec mv {} {}.mbox \; -exec mkdir {}.mbox/Messages \; -exec sh -c ""mv {}.mbox/*.emlx {}.mbox/Messages"" \;" Move each of the 'm?' directories in current directory to another directory whose name is constituted by appending .mbox to each directory name and create a directory named Messages in this directory then move all *.emlx files into this directory,find . -name 'm?' -type d -exec mv '{}' '{}.mbox' ';' -exec mkdir '{}.mbox/Messages' ';' -exec sh -c 'mv {}.mbox/*.emlx {}.mbox/Messages' ';' Move each of the directories in /path/to/folders/* to another directory whose name is constituted by appending .mbox to each directory name and create a directory named Messages in this directory,find /path/to/folders/* -type d -exec mv {} {}.mbox \; -exec mkdir {}.mbox/Messages \; Moves the file that named like file $1 from '/tmp' folder to the folder where $2 file is located.,"mv ""/tmp/`basename $1`"" ""`dirname $2`""" "Move server.log to 'logs' directory with new name as the current date formatted as ""%Y%m%d%H%M"" and with '.log' extension","mv server.log logs/$(date -d ""today"" +""%Y%m%d%H%M"").log" Non-recursively finds all '*.pdf' files in a current folder and removes them.,"find -maxdepth 1 -name '*.pdf' -exec rm ""{}"" \;" "Number each line in ""/etc/passwd"" as right-justified zero padded to a width of 9",nl -nrz -w9 /etc/passwd "Number each line in ""foobar"" as right-justified zero padded to a width of 9",nl -nrz -w9 foobar Number each non-blank line of standard input,nl "Number every line of standard input as zero padded to 6 characters followed by ""-""",nl -s- -ba -nrz "Numberically sort content of file 'files', using for sorting part of second one of dash separated fields beginning from second letter.","cat files | sort -t- -k2,2 -n" "Numerically sort each line in file ""bb"" and output the result to console from greatest value to least value",sort -nr bb "Numerically sort each line in file ""out"" and print the result to console",sort -n out Numerically sort each line of standard input,sort -n "Numerically sort file ""files"" by the second ""-"" separated value of each line ordered from least value to highest value","tac files | sort -t- -k2,2 -n" "Numerically sort file ""table"" by the fourth character of the second field, ignoring leading spaces",sort -b -n -k2.4 table "Numerically sort IPv4 addresses specified on standard input with presedence to first, second, third, then fourth octet",tr '.' ' ' | sort -nu -t ' ' -k 1 -k 2 -k 3 -k 4 | tr ' ' '.' Numerically sort standard input by the second word of each line,sort -n -k 2 Numerically sort standard input by the second word of each line and output from greatest value to least value,"sort -nrk 2,2" "On host ""server_b"", connect as ssh user ""user"" and copy ""/my_folder/my_file.xml"" to directory ""/my_new_folder/"".",scp user@server_b:/my_folder/my_file.xml user@server_b:/my_new_folder/ "On host ""server_b"", connect as ssh user ""user"" and copy ""/my_folder/my_file.xml"" to directory ""/my_new_folder/"", with all transfer data relayed through local host.",scp -3 user@server_b:/my_folder/my_file.xml user@server_b:/my_new_folder/ only get md5sum of a file,md5 -q file Open a local SSH port on 1080 for application-level port forwarding,ssh -D1080 root@localhost -g "Open a session-less connection to 'host' as user 'user' in master mode with a socket ""/tmp/%r@%h:%p"" to enable connection sharing",ssh user@host -M -S /tmp/%r@%h:%p -N "Open a ssh connection to ""user@host"" with a control socket ""/tmp/%r@%h:%p""",ssh user@host -S /tmp/%r@%h:%p Opens gawk info manual and goes to command-line options node.,info -O gawk "Opens gcc info manual and goes to a node pointed by index entry ""funroll-loops"".",info gcc --index-search=funroll-loops Opens menu item 'Basic Shell Features' -> 'Shell Expansions' -> 'Filename Expansion' -> 'Pattern Matching' in the 'bash' manual.,info bash 'Basic Shell Features' 'Shell Expansions' 'Filename Expansion' 'Pattern Matching' "Output ""file.txt"", omitting all containing directories ""some/unknown/amoutn/of/sub/folder/""","basename ""some/unknown/amount/of/sub/folder/file.txt""" "Output ""stuff"", removing ""/foo/bar/"" from the specified path.",basename /foo/bar/stuff "Output ""testFile.txt.1"" without the "".1"" suffix.",basename testFile.txt.1 .1 "Output two lines of ""-tcp""","yes -- ""-tcp"" | head -n 2" Output all lines in BigFile.csv whose secondn comma-separated second field matches first field of a line in LittleFile.csv.,"join -1 2 -2 1 -t, BigFile.csv LittleFile.csv" "Output all lines that have a common first colon-separated field in files 'selection2.txt' and 'selection1.txt' by displaying the common (first) field of each line, followed by the extra fields in both lines.",join -t: selection2.txt selection1.txt "Output the base name of first argument to script or function, that is the part following the last slash.","echo $(basename ""$1"")" "Output the last slash-separated component of specified path, in this case ""data_report_PD_import_script_ABF1_6""",basename /EBF/DirectiveFiles/data_report_PD_import_script_ABF1_6 "Output only the filetype suffix of ""foo.tar.gz"", in this case ""gz""","echo ""foo.tar.gz"" | rev | cut -d""."" -f1 | rev" "Output the specified path removing all containing directories and the .txt suffix, in this case ""filename"".",basename /path/to/dir/filename.txt .txt Output the string 'yes' continously until killed,yes Output the system host name and date to the console,echo Hostname=$(hostname) LastChecked=$(date) "Output the variable ""filename"" without the last dot-separated section.",echo ${filename%.*} Overwrite a file 'my-existing-file' with random data to hide its content,shred my-existing-file "Overwrites file $FILE with random content, then truncates and deletes it.",shred -u $FILE "Overwirte file '/path/to/your/file' with random content, then overwrite with zeroes, and remove, showing progress while execution.",shred -v -n 1 -z -u /path/to/your/file "Overwirte file '/path/to/your/file' with random content, showing progress while execution.",shred -v -n 1 /path/to/your/file #overwriting with random data "Overwirte file '/path/to/your/file' with zeroes and remove, showing progress while execution.",shred -v -n 0 -z -u /path/to/your/file #overwriting with zeroes and remove the file "Overwrites file 'filename' with random content 35 times, finally writes it with zeros, truncates and deletes.",shred -uzn 35 filename Pair side-by-side content of the 'file' and its side-mirrored content,paste -d ' ' file <(rev file) "Pass a wildcard to scp by escaping it: copy all files with names starting with ""files"" in directory ""/location"" on host ""server"" to current directory on local machine, displaying debug info and preserving timestamps and permissions on copied files.",scp -vp me@server:/location/files\* Perform a case insensitive search for *filename* files/directories under current directory tree,"find . -iname ""*filename*""" Perform a default cPanel configuration,find /home/*/public_html/ -type f -iwholename “*/wp-includes/version.php” -exec grep -H “\$wp_version =” {} \; Perform a default Plesk configuration,find /var/www/vhosts/*/httpdocs -type f -iwholename “*/wp-includes/version.php” -exec grep -H “\$wp_version =” {} \; "Perform a dry run to recursively copy ""test/a"" to ""test/dest"" excluding ""test/a/b/c/d""",rsync -nvraL test/a test/dest --exclude=a/b/c/d Perform case insensitive search for *.gif files/directories under downloads directory,"find downloads -iname ""*.gif""" "Ping all hosts in file ""ips"" twice",cat ips | xargs -i% ping -c 2 % "Ping the broadcast address ""10.10.0.255""",ping -b 10.10.0.255 Ping every address from 192.168.0.1 to 192.168.0.254 with a timeout of 1 second and filter out no responses,"echo $(seq 254) | xargs -P255 -I% -d"" "" ping -W 1 -c 1 192.168.0.% | grep -E ""[0-1].*?:""" "Pipe 3 newlines to sshe-keygen, answering prompts automatically.","echo -e ""\n\n\n"" | ssh-keygen -t rsa" "Pipe content of 'somedata.txt' file to the input of command ""$outfile""","cat somedata.txt | ""$outfile""" "Pipe the output of ls into ""read var"" in its separate process",ls | read var Places current job to background.,bg % so it wont die when you logoff "Prefix all files and directories in the current directory with ""Unix_""",ls | xargs -I {} mv {} Unix_{} "Prefix all files and directories in the current directory with ""unix_""",ls | xargs -i mv {} unix_{} "Prefix all files and folders in the current directory with ""PRE_""",find * -maxdepth 0 ! -path . -exec mv {} PRE_{} \; "Prefix each non-blank line in ""filename"" with a line number",nl filename Prepend date to ping output to google.com,ping google.com | xargs -L 1 -I '{}' date '+%+: {}' "Prepend the reverse history number to the output of the history command with arguments ""$@""","history ""$@"" | tac | nl | tac" prevents curl from returning error (23) Failed writing body when grepping for foo,"curl ""url"" | tac | tac | grep -qs foo" "Prevent ssh from reading from standard input and execute ""touch /home/user/file_name.txt"" on ""$R_HOST"" as ""$R_USER""",ssh -n $R_USER@$R_HOST 'touch /home/user/file_name.txt' "Print ""#include"" statements found in ""file2"" that are not in ""file1""",comm -13 <(grep '#include' file1 | sort) <(grep '#include' file2 | sort) "Print ""$1"" or default 10 random lines from standard input","nl | sort -R | cut -f2 | head -""${1:-10}""" "Prints ""$NEWFILE"" to the terminal and file '/etc/timezone' as a root user.","echo ""$NEWFILE"" | sudo tee /etc/apt/sources.list" "Print ""$line"" in hexadecimal 2-byte units",echo -n $line | od -x "Print ""*Checking Partition Permission* Hostname=$(hostname) LastChecked="" followed by the current date",echo -n *Checking Partition Permission* Hostname=$(hostname) LastChecked=$(date) "Print ""/tmp/myfile"" starting at line 11",tail -n +11 /tmp/myfile "Print ""Cannot acquire lock - already locked by "" followed by content of $lockfile file","echo ""Cannot acquire lock - already locked by $(cat ""$lockfile"")""" "Print ""I am USER and the program named ls is in LS_PATH"" where ""USER"" is the current user's user name and ""LS_PATH"" is the full path of the command ""ls""",echo I am $(whoami) and the program named ls is in $(which ls). "Print ""RDBMS exit code : $RC "" to the console and append to ""${LOG_FILE}""","echo "" RDBMS exit code : $RC "" | tee -a ${LOG_FILE}" "Print ""Total generated: "" followed by the number of unique lines in ""$generated_ports""","echo ""Total generated: $(echo ""$generated_ports"" | sort | uniq | wc -l).""" "Print ""a\nb\ncccccccccccc\nd"" as two columns and neatly format into a table","echo -e ""a\nb\ncccccccccccc\nd"" | paste - - | column -t" "Print ""deb http://ppa.launchpad.net/webupd8team/java/ubuntu precise main"" and append to file ""/etc/apt/sources.list""","echo ""deb http://ppa.launchpad.net/webupd8team/java/ubuntu precise main"" | tee -a /etc/apt/sources.list" "Print ""echo ping -c 2"" on each string in file 'ips'",cat ips | xargs -n1 echo ping -c 2 "Print ""file1.txt"" ""file2.txt"" and ""file3.txt"" with filename headers",tail -n +1 file1.txt file2.txt file3.txt "Print ""hello"" followed by the current user name",echo hello `whoami` "Print ""huge-file.log"" starting at line 1000001",tail -n +1000001 huge-file.log "Print ""huzzah"" if directory ""/some/dir"" is empty","find /some/dir/ -maxdepth 0 -empty -exec echo ""huzzah"" \;" "Print ""new.txt"" with line numbers prepended",cat new.txt | nl "Print ""on"" to standard output and to files matching ""/sys/bus/usb/devices/usb*/power/level""","echo ""on"" | tee /sys/bus/usb/devices/usb*/power/level" "Print ""test=hello world""","echo ""hello world"" | echo test=$(cat)" "Print the $N'th line from file by replacing commas (',') with newlines","head -$N file | tail -1 | tr ',' '\n'" Print $d if $d is an empty directory,"find ""$d"" -prune -empty -type d" Print $d if $d is empty,"find ""$d"" -prune -empty" "Prints $m latest modified files within the $d folder, using $f format for printing timestamp.","find ""$d"" -type f -printf ""%T@ :$f %p\n"" | sort -nr | cut -d: -f2- | head -n""$m""" "Print '""HTTP/1.1 200 OK', two new lines and the current date","echo -e ""HTTP/1.1 200 OK\n\n $(date)""" Print '-exec is an action so an implicit -print is not applied' for every file/directory found by the name 'file' under current directory tree,find -name file -exec echo '-exec is an action so an implicit -print is not applied' \; Print '-ok is an action so an implicit -print is not applied' with confirmation from the user for each file or directory found by the name 'file' under current directory tree,find -name file -ok echo '-ok is an action so an implicit -print is not applied' \; Print '-okdir is an action so an implicit -print is not applied' for each file/directory found by the name 'file' under current directory tree,find -name file -okdir echo '-okdir is an action so an implicit -print is not applied' \; Print '111 22 3\n4 555 66\n' by replacing the spaces with tabs and '\n' with newlines,echo -en '111 22 3\n4 555 66\n' | tr ' ' '\t' Print 'Since -printf is an action the implicit -print is not applied\n' for every file named 'file' found under current directory tree,find -name file -printf 'Since -printf is an action the implicit -print is not applied\n' Print 'This should print the filename twice if an implicit -print is applied: ' appended with file paths for all files named 'file' under current directory tree,find -name file -exec echo 'This should print the filename twice if an implicit -print is applied: ' {} + Print 'bla.txt' if at least one file with such name is present below the current directory.,"ls -alFt `find . -name ""bla.txt""` | rev | cut -d"" "" -f1 | rev | head -1" Print 'cp' commands that would copy a file xyz.c to all the files with '.c' extension present in the ./C directory and below,"find ./C -name ""*.c"" | xargs -n1 echo cp xyz.c" "Print 'echo 'hello, world'","echo 'hello, world' | cat" "Print 'file' content, formatting output as 29-symbol wide column, regarding space symbol as a word separator",cat file | fold -s -w29 "Print 'file' file, splitting lines into pieces with no more that 3 words in each one.",cat file | xargs -n3 Print 'infile' content with line numbers,cat -n infile "Print 10 ""#"" characters in a row",yes '#' | head -n 10 | tr -d '\n' "Print 10 lines of a single ""x""",yes x | head -n 10 Print 1000 astarisk ('*'),head -c 1000 /dev/zero | tr '\0' '*' "Print 2 lines of ""123456789""",yes 123456789 | head -2 "Print three lines of ""some line "" followed by a random number",seq -f 'some line %g' 500 | nl | sort -R | cut -f2- | head -3 "Print 3 newline separated ""y""s",yes | head -3 Print 3 space separated '%',echo $(yes % | head -n3) "Print the 5th space separated fields in ""file"" as a comma separated list","cut -d' ' -f5 file | paste -d',' -s" Print 7 spaces in a row,yes ' ' | head -7 | tr -d '\n' "Print a 2 byte hexadecimal value, printable character, and octal value of ""$1""","echo ""$1"" | od -xcb" Print a colon-separated list of all directories from the $root directory tree,find $root -type d -printf '%p:' "Print a count of all unique entries in ""ips.txt"" with the most frequent results at the top",sort ips.txt | uniq -c | sort -bgr "Print a count of all unique lines in ""ports.txt"" sorted from most frequent to least frequent",sort ports.txt | uniq -c | sort -r Print a count of each unique line from standard input sorted from least frequent to most frequent,sort | uniq -c | sort -n "Print a count of each unique line in ""ip_addresses""",sort ip_addresses | uniq -c "Print a count of each unique line in ""ip_addresses.txt"" sorted numerically",sort -n ip_addresses.txt | uniq -c Print a count of files and directories in the current directory tree,tree | tail -1 "Print a hex dump byte to byte of the output of ""echo Aa""",echo Aa | od -t x1 "Print a hex dump of ""$DIREC"" as characters","echo ""$DIREC"" | od -c" Print a listing of the `other' directory,$ find other -maxdepth 1 Print a list of all files and directories in the /var/log directory tree,find /var/log/ Print a list of all regular files residing in the current directory,find . -maxdepth 1 -type f -print0 Print a list of differing files,diff -q /dir1 /dir2|cut -f2 -d' ' Print a list of each file with the full path prefix in the current directory tree excluding symbolic links,tree -fi |grep -v \> Print a list of regular files from directory tree sort_test/ sorted with LC_COLLATE=C,find sort_test/ -type f | env -i LC_COLLATE=C sort Print a list of symbolic links reachable from the current directory that do not resolve to accessible files,find -L. -type l "Print a minimal set of differences between files in directories ""a"" and ""b"", ignore differences in whitespace, and print 0 lines of unified context",diff -dbU0 a b "Print a minimal set of differences between files in directories ""a"" and ""b"", ignore the first 3 lines of output, and print any line starting with ""-"" with the first character removed",diff -dbU0 a b | tail -n +4 | grep ^- | cut -c2- Print a NULL-separated list of all directories of the current directory tree,find . -type d -print0 Print a NULL-separated list of all hidden regular files from the home directory,find $HOME -maxdepth 1 -type f -name '.*' -print0 prints a number stored among text in $filename,echo $filename | egrep -o '[[:digit:]]{5}' | head -n1 Prints a random line from $FILE,sort --random-sort $FILE | head -n 1 Prints a random number between 2000 and 65000,seq 2000 65000 | sort -R | head -n 1 "Print a randomly sorted list of numbers from 1 to 10 to file ""/tmp/lst"" and the screen followed by "" -------""",seq 1 10 | sort -R | tee /tmp/lst |cat <(cat /tmp/lst) <(echo '-------') Print A record for domain 'domain.' from 'ns1.newnameserver' nameserver,dig @ns1.newnameserver domain. a Print A record for domain 'domain.' from 'ns2.newnameserver' nameserver,dig @ns2.newnameserver domain. a Print A record for domain 'domain.' from 8.8.8.8 nameserver,dig @8.8.8.8 domain. a Print a sorted list of *.so files in the bla directory tree,find bla -name *.so -print0 | sort -rz "Print a sorted list of directories from the ~/Music tree containing files whose names begin with ""cover.""",find ~/Music/ -iname 'cover.*' -printf '%h\n' | sort -u Print a sorted list of regular files from directory tree /folder/of/stuff,find /folder/of/stuff -type f | sort Print a sorted list of the subdirectories of ~/Music,find ~/Music/ -maxdepth 2 -mindepth 2 -type d | sort Print a sorted list of unique directory paths in entire file system that match the pattern '' in their names,find / -name '' -type d | sort | uniq Print a space separated list of numbers from 1 to 10 with no trailing new line,seq 10 | xargs echo -n Print a top 20 histogram of characters used from standard input showing backslash escapes for non-displayables,od -cvAnone -w1 | sort -b | uniq -c | sort -rn | head -n 20 Print a unique list of characters from standard input showing backslash escapes for non-displayables,od -cvAnone -w1 | sort -bu Print a welcome message with the current user's user name,"echo ""Welcome $(whoami)!""" prints absolute file paths for files in current directory,find `pwd` -maxdepth 1 "Print the absolute path of ""$path""","readlink -f ""$path""" "Print absolute path of ""YOUR_PATH""",readlink -f YOUR_PATH Print absolute path of java executable,readlink -f $(which java) Print the absolute path of third-level files under the current directory tree and number the output,ls -d -1 $PWD/**/*/* | nl Print all '-' separated digits in file 'infile' as dot ('.') separated digits,grep -Eo '([0-9]+-){3}[0-9]+' infile | tr - . Print all directories under $root appending a : (colon) at the end of each path without descending into directories matching the pattern .[a-z]*,"find ""$root"" -name "".[a-z]*"" -prune -o -type d -printf '%p:'" "Print all distinct characters in input ""He likes cats, really?""","echo ""He likes cats, really?"" | fold -w1 | sort -u" Print all files and directories in the `.' directory tree skipping SCCS directories,find . -name SCCS -prune -o -print Print all files/directories under ... directory by terminating their paths with a null character,find ... -print0 Print all files/directories with their sizes under $WHATEVER directory tree,"find $WHATEVER -printf ""%s %p\n""" "Print all files containing ""word1"" and ""word2"" in the current directory tree",comm -12 <(grep -rl word1 . | sort) <(grep -rl word2 . | sort) Print all files in the current directory tree as a comma separated list,"find . -type f -print0 | tr '\0' ','" print all files in the directories except the ./src/emacs directory,find . -wholename './src/emacs' -prune -o -print Print all files on the system owned by group `name_of_group',find / -group name_of_group Print all file/directory names with white space safety under the /proc directory,find /proc -print0 | xargs -0 Print all file/directory names without white space safety under the /proc directory,find /proc | xargs Print all files that exceed 1000 blocks and were modified at least a month ago,find / -size +1000 -mtime +30 -exec ls -l {} \; "Print all files with a '-' after their name if they are regular files, and a '+' otherwise",find / -type f -exec echo {} - ';' -o -exec echo {} + ';' "Print all filenames in /usr/src except for those that are of the form '*,v' or '.*,v'","find /usr/src -not \( -name ""*,v"" -o -name "".*,v"" \) '{}' \; -print" Print all lines from file 'report.txt' containing any-cased 'error' pattern,cat report.txt | grep -i error "Print all matching commands in $PATH for command ""python""",which -a python Prints all Saturday days of a current month.,cal -h | cut -c19-20 Print all string from file 'file2.txt' matching pattern in file 'file1.txt',"grep ""$(cat file1.txt)"" file2.txt" Print all unique strings in $1.tmp file.,cat $1.tmp | sort -u Print all user names and terminals of users who are logged in,"who | cut -d "" "" -f1,2" Print and recursively remove the alphabetically last directory in the current directory,find -mindepth 1 -maxdepth 1 -type d | cut -c 3- | sort -k1n | tail -n 1 | xargs -r echo rm -r "Print and save the ping results of 25 requests to ""google.com"" in ""/home/user/myLogFile.log"" containing at most 100000 bytes",ping -c 25 google.com | tee >(split -d -b 100000 - /home/user/myLogFile.log) print apparent size rather than disk usage,du -B1 --apparent-size /tmp/foo.txt "Print appended data in ""/var/log/syslog"" as the file grows",tail -f /var/log/syslog "Print appended data in ""file"" that match ""my_pattern""",tail -f file | grep --line-buffered my_pattern "Print argument ""$1"" ""$number"" times",yes $1 | head -$number "Print the average round trip time of 5 pings to ""google.com""",ping -q -c 5 google.com | tail -n 1 | cut -f 5 -d '/' "Print the average round trip time of 5 pings to ""google.com"" from OSX","ping -c 5 google.com | grep ""round-trip"" | cut -f 5 -d ""/""" Print base name of the file name without all extensions.,"basename ""$FILE"" | cut -d'.' -f-1" Prints calendar for a current month.,cal "Print calendar for February, March and April of year 2009 side-by-side",paste <(cal 2 2009) <(cal 3 2009) <(cal 4 2009) "Prints calendar of February, 1900.",cal 2 1900 "Prints calendars of July, 2009 and July, 2010 side-by-side.",paste <(cal 6 2009) <(cal 6 2010) "Print canonical filename of ""/path/here/..""",readlink -f /path/here/.. "Print canonical filename of ""/path/there/../../"" even if it does not exist",readlink -m /path/there/../../ "Print characters 2 through 4 of ""abcdefg""",echo 'abcdefg'|tail -c +2|head -c 3 Print the characters in $b that match with any character in $a without printing any newline,"echo ""$b"" | grep -o ""[$a]"" | tr -d '\n'" Print the characters in $b that match with any character in $a without printing any whitespace in-between,"echo ""$b"" | grep --only-matching ""[$a]"" | xargs | tr --delete ' '" "Print characters in variable ""$a"" that exist in variable ""$b""","echo ""$(comm -12 <(echo ""$a"" | fold -w1 | sort | uniq) <(echo ""$b"" | fold -w1 | sort | uniq) | tr -d '\n')""" "Print chmod commands that can change permissions of regular files residing in the current directory tree to u=rw,g=r,o=","find . -type f -exec echo chmod u=rw,g=r,o= '{}' \;" "Print comma separated gaps in file ""file"" that contains new line separated ordered numbers",seq $(tail -1 file)|diff - file|grep -Po '.*(?=d)' Print command history,history Print command line of process with pid 17709,cat /proc/17709/cmdline | xargs -0 echo Print command with PID 11383,ps | egrep 11383 | tr -s ' ' | cut -d ' ' -f 4 "Print common files of directory ""one"" and ""two""",comm -12 <(ls one) <(ls two) "Print common lines in ""file1"" and ""file2""",comm -12 file1 file2 "Print common lines in files ""set1"" and ""set2""",comm -12 <(sort set1) <(sort set2) "Print common lines in sorted files ""ignore.txt"" and ""input.txt""",comm -12 ignore.txt input.txt "Print common lines of files ""file1"", ""file2"", ""file3"", and ""file4""",comm -12 <(comm -12 <(comm -12 <(sort file1) <(sort file2)) <(sort file3)) <(sort file4) "Print the compressed size, uncompressed size, compression ratio, and uncompressed filename of ""file.zip""",gunzip -l file.zip Print concatenated content of all files ending with '.foo' under the current folder,cat `find . -name '*.foo' -print` "Print the contents of ""$FILE"" starting from line 2","tail -n +2 ""$FILE""" "Print the contents of ""${SPOOL_FILE}"" file to the console and append to ""${LOG_FILE}"" file",cat ${SPOOL_FILE} | tee -a ${LOG_FILE} "Print the contents of ""Little_Commas.TXT""",cat Little_Commas.TXT "Print contents of ""file"" as space separated hexadecimal bytes on a single line",od -t x1 -An file |tr -d '\n ' "Print the contents of ""filename""",cat filename "Print the contents of ""foo.txt"" starting with line 2",tail -n +2 foo.txt "Print the contents of ""my_script.py""",cat my_script.py "Print the contents of ""n""",cat n "Print the contents of ""numbers.txt""",cat numbers.txt "Print the contents of ""xx.sh""",cat xx.sh "Print the contents of ""~/.ssh/config""",cat ~/.ssh/config Print content of '1' file,$ cat 1 "Print content of 'a' file, showing all non-printing characters including TAB characters, and displaying $ at the end of each line.",cat -vet a Print content of 'domains.txt' with removed first one of dot-delimited fields,rev domains.txt | cut -d '.' -f 2- | rev Print content of 'file' file reverted characterwise,rev file "Print content of 'filename' file, showing all non-printing characters and displaying $ at the end of each line.",cat -v -e filename Print content of 'whatever' file,cat whatever | egrep 'snozzberries|$' Print content of /etc/passwd and /etc/group files,cat /etc/passwd /etc/group Print content of all files ending with '*.foo' in current directory recursively,find . -name '*.foo' -exec cat {} \; Print content of all files found regarding seach options '[whatever]',find [whatever] -exec cat {} + Print content of each file under the current directory followed by that file name,find . -type f -exec cat {} \; -print "Print the current date followed by "": $line""","echo ""$(date): "" $line" Print the current date followed by ' doing stuff',echo $(date) doing stuff Print the current date in '%H:%M:%S' format followed by the string ': done waiting. both jobs terminated on their own or via timeout; resuming script',"echo ""$(date +%H:%M:%S): done waiting. both jobs terminated on their own or via timeout; resuming script""" "Print the current default full path of the ""java"" executable","echo ""The current default java is $(readlink --canonicalize `which java`)""" Prints current directory name,"pwd | grep -o ""\w*-*$""" Print the current directory tree with file sizes,tree -s "Prints current month calendar, without highlighting of a current date.",cal -h Print current shell using process ID,ps -ef | grep $$ | grep -v grep "Print the current user's mail file in ""/var/spool/mail""",cat /var/spool/mail/`whoami` Print current UTC date in ISO format with precision to seconds,date -u -Iseconds "Print the current working directory and the base name of ""$1""","echo ""$(pwd)/$(basename ""$1"")""" "Print the current working directory prepended by ""pwd: """,echo pwd: `pwd` Print the current working directory with resolved symbolic links,pwd -P Print the current working directory without a trailing newline,echo -n $(pwd) Print the date followed by the host name,echo `date` `hostname` "Print the date formatted with ""%a %x %X"" followed by the host name","echo `date +""%a %x %X""` `hostname`" Print the day 1 day ago,date --date='1 days ago' '+%a' Print the directory name of the full real path to the current script,"echo ""dirname/readlink: $(dirname $(readlink -f $0))""" Print the directory of the full path to the current script,echo $(dirname $(readlink -m $BASH_SOURCE)) Print the directories that are taken by the glob pattern $SrvDir*,find $SrvDir* -maxdepth 0 -type d Prints directory where the executing script ($0) is located.,$(dirname $0) "Print each character in ""Hello"" as a hexadecimal value","echo -n ""Hello"" | od -A n -t x1" "Print each character in ""orange"" on a new line",echo orange | fold -w 1 "Print each character of ""abcdefg"" on a line","echo ""abcdefg"" | fold -w1" "Print each line in ""f1"" and ""f2"" separated by a space and ""f3"" separated by a tab","paste <(paste -d"" "" f1 f2) f3" "Print each line in ""file1"" whose first word does not exist as the first word of any line in ""file2""",join -v 1 <(sort file1) <(sort file2) "Print each line in ""file1.txt"" that is not found in ""file2.txt""",sort file1.txt file2.txt file2.txt | uniq -u "Print each line in parallel in files ""tmp/sample-XXX.tim"" and ""tmp/sample-XXX.log""","paste tmp/sample-XXXX.{tim,log}" Print each logged in user's full name,"finger -l | grep ""Name:"" | cut -d "":"" -f 3 | cut -c 2- | sort | uniq" Print each logged in user's username and full name,"finger -l | grep ""Name:"" | tr -s ' ' | cut -d "" "" -f 2,4- | sort | uniq" "Print each unique line that is duplicated in files ""file1"" and ""file2"" combined",sort file1 file2 | uniq -d "Print either ""one"" or ""two"" randomly three times",yes $'one\ntwo' | head -10 | nl | sort -R | cut -f2- | head -3 "Print epoch seconds for given time string ""Oct 21 1973""","date -d ""Oct 21 1973"" +%s" "Print equal lines in compressed files ""number.txt"" and ""xxx.txt""",comm -12 <(zcat number.txt.gz) <(zcat xxx.txt.gz) "Print every two lines in ""file"" on a single line separated by a space",cat file | paste -d' ' - - Print every 3 characters of standard input as a line,fold -w3 "Print every file's type, name, and inode","find -printf ""%y %i %prn""" "Print every found file like '*.cfg' under '/path/to/files/' directory followed by its content, and wait 2 seconds after each printed file",find /path/to/files -type f -name \*.cfg -print -exec cat {} \; -exec sleep 2 \; "Print the file content of command ""f""","cat ""$(which f)""" Print file extension assuming there is only one dot in the file name.,"echo ""$FILE"" | cut -d'.' -f2" "Print file information of command ""bash""",echo $(ls -l $(which bash)) "Print file information of command ""passwd""",ls -l `which passwd` "Print file information of command ""studio""","ls -l ""$( which studio )""" Print file name without extension assuming there is only one dot in the file name.,"echo ""$FILE"" | cut -d'.' -f1" Print file name without the last two extensions assuming the file name doesn't contain any other dots.,"echo ""$FILE"" | cut -d'.' --complement -f2-" Print the file paths and their sizes for all files under full_path_to_your_directory,find full_path_to_your_directory -type f -printf '%p %s\n' "Prints the file path composed from the path where symlink target file is located, and name of the symbolic link itself.","echo ""$(dirname $(readlink -e $F))/$(basename $F)""" Print the file sizes along with their paths for all *.txt (case insensitive) files/directories under current directory tree,"find . -iname ""*.txt"" -exec du -b {} +" Print file size and user name with color support for each file in the current directory tree,tree -Csu Print file size with the file name,find . -name '*.ear' -exec du -h {} \; Print file system disk space usage,df Print file system disk space usage and grand total for the root file system with sizes in powers of 1000,df -H --total / Print file system disk space usage with sizes in powers of 1000,a=$( df -H ) "Print file type information of the ""java"" executable",cat `which java` | file - "Print file type of the command ""c++""",file `which c++` "Print file type of command ""gcc""",file -L `which gcc` "Print file type of the executable file of command ""file""",file `which file` "Print file type of the executable file of command ""python""",file `which python` Print the files under current directory twice per line,find . -type f -exec echo {} {} \; Prints file.txt without the last N bytes,head -c -N file.txt Print the filenames taken by the glob pattern * with null character as the delimiter,find * -maxdepth 0 -type d -print0 "Print the first two bytes of ""my_driver"" in octal",od --read-bytes=2 my_driver Print the first 2 lines of tree's help message by redirecting it from standard error to standard output,tree --help |& head -n2 "Print the first 5 decompressed lines of compressed file ""$line""","zcat ""$line"" | head -n5" Print first field from semicolon-seprated line .,"echo """" | cut -d "";"" -f 1" Prints first found folder that contains 'ssh' file and has 'bin' in path.,dirname `find / -name ssh | grep bin | head -1` "Print the first line of ""filename"" as a hex dump of characters",head -n 1 filename | od -c "Print the first line of ""seq 1 10000""",seq 1 10000 | head -1 prints first line of $bigfile,head -n1 $bigfile Print the first line of every file matching pattern 'file?B' in the xargstest/ directory tree,find xargstest/ -name 'file?B' | sort | xargs head -n1 "Print the first line of output after alphabetically sorting the file ""set""",head -1 <(sort set) Prints the first N bytes of file.txt,head -c N file.txt Print first word of lines unique for 'file1' file,grep -o '^\S\+' <(comm file1 file2) Prints folder path where $mystring file is located.,echo dirname: $(dirname $mystring) Print fourth column of data from text file text.txt where columns separated by one or more whitespaces.,cat text.txt | tr -s ' ' | cut -d ' ' -f4 "Print the full name of ""$USER""",finger $USER |head -n1 |cut -d : -f3 Prints full path of a 'cat.wav' file in a current folder.,ls $PWD/cat.wav "Print the full path of command ""cc""",which cc "Print the full path of command ""gcc""",which gcc "Print full path of command ""gradle""",which gradle "Print full path of command ""programname""",which programname "Print full path of command ""python""",which python "Print full path of command ""python2.7""",which python2.7 "Print the full path of command ""rails""",which rails Print the full path prefix for all files in the current directory tree as a list,tree -fi Prints full path to files in a current folder.,ls -d $PWD/* "Print the full real path of ""/dev/disk/by-uuid/$1"" followed by ""is mounted""",echo $(readlink -f /dev/disk/by-uuid/$1) is mounted "Print the full real path of ""/dev/disk/by-uuid/$1"" followed by ""is not mounted""",echo $(readlink -f /dev/disk/by-uuid/$1) is not mounted Print the grand total file system disk space usage with block sizes in units of TiB,df --total -BT | tail -n 1 Prints groups list that current user belongs to.,groups //take a look at the groups and see Prints groups list that user 'el' belongs to.,groups el //see that el is part of www-data Print the help message for tree,tree --help "Print the help message of command ""split""",split --help Prints help on 'cp' utility.,cp --help "Print the hexadecimal bytes and printable characters of ""Hello world""",echo Hello world | od -t x1 -t c Print the host name,hostname "Print host name followed by "":"" and the contents of ""/sys/block/sda/size""","echo ""$(hostname):$(cat /sys/block/sda/size)""" Print host name without a newline,echo -n `hostname` Print info about thread number of process with pid 1,cat /proc/1/sched | head -n 1 Prints information about active network interfaces in system.,"echo ""$(ifconfig)""" "Prints information about user $euids currently on machine and its processes, without printing header.",w -h $euids Print information of the process running the current script as the current user,ps -ef | grep $0 | grep $(whoami) Print information of the root mount point,"mount -v | grep "" on / """ "Print input ""your, text, here"" formatted to fit 70 characters per line breaking at spaces","echo 'your, text, here' | fold -sw 70" "Print joined strings from 'file', using space symbol as separator.",cat file | xargs Prints Kb size of all top-level files and folders in a current folder in descending order.,du -ks * | sort -n -r Prints Kb size of all top-level files and folders in a current folder in descending order in human readable format.,du -ksh * | sort -n -r "Print the kernel configuration options found in ""/proc/config.gz""",cat /proc/config.gz | gunzip Print last 10 commands in history with the first 7 characters removed,history 10 | cut -c 8- "Print last day of April, 2009",cal 4 2009 | tr ' ' '\n' | grep -v ^$ | tail -n 1 "Print the last line of ""$file1"" to the console and append to ""$file2""",tail -1 $file1 | tee -a $file2 Prints last modified file in a current folder.,"find . -type f -printf '%T@ %p\n' | sort -n | tail -1 | cut -f2- -d"" """ "Print the last space separated word from ""Your string here""","echo ""Your string here""| tr ' ' '\n' | tail -n1" "Print the last space separated word from ""a b c d e""","echo ""a b c d e"" | tr ' ' '\n' | tail -1" Prints latest modified file in a directory,ls -1t | head -1 "Print lines 10000 to 10010 from input ""seq 1 100000""",seq 1 100000 | tail -n +10000 | head -n 10 "Print lines 16225 to 16482 in file ""file""",cat file | head -n 16482 | tail -n 258 "Print lines 347340107 through 347340206 in ""filename""",tail -n +347340107 filename | head -n 100 Print lines containing string TEXT from all log files in the current directory.,grep -e TEXT *.log | cut -d':' --complement -s -f1 print the line containing TERMINATE and everything after in 'file',"tail -n ""+$(grep -n 'TERMINATE' file | head -n 1 | cut -d "":"" -f 1)"" file" Prints lines count in each *.c file of a current folder and total count.,wc -l *.c "Print lines in ""file1"" that exist in ""file2""","join -t "" "" -j 1 <(sort file1) <(sort file2)" "Print lines in ""file1.txt"" that do not exist in ""file2.txt""",sort <(sort -u file1.txt) file2.txt file2.txt | uniq -u "Print lines in ""foo.txt"" that are found only once",sort foo.txt | uniq Print lines in file 'file' that do not match any word specified in file 'blacklist' (one word per line),grep -w -v -f blacklist file Print lines in file 'filename' that do not match the regex 'pattern',grep -v 'pattern' filename "Print lines in the sorted contents of ""a.txt"" that are not in the sorted contents of ""b.txt""",comm -23 <(sort a.txt) <(sort b.txt) "Print lines in the sorted contents of ""file1"" that are not in the sorted contents of ""file2""",comm -23 <(sort file1) <(sort file2) "Print lines in the sorted contents of ""file2"" that are not in the sorted contents of ""file1""",comm -13 <(sort file1) <(sort file2) "Print lines in the sorted contents of ""second.txt"" that are not in the sorted contents of ""first.txt""",comm -13 <(sort first.txt) <(sort second.txt) "Print lines of 'file' reverted order, and reverted characterwise",tac file | rev "Print the lines of file ""strings"" not specified in file ""index""",join -v 2 index <(nl strings) "Print the lines of file ""strings"" specified in file ""index""",join <(sort index) <(nl strings | sort -b) Print lines that only unique ones in 'set1' and 'set2' files,cat <(grep -vxF -f set1 set2) <(grep -vxF -f set2 set1) "Print lines unique and common to sorted files ""file1"" and ""file2""",comm file1 file2 Print the line with most consecutive repeats prefixed with its count from standard input,uniq -c | sort -n | tail -n1 "Print line, word and byte counts for each .php files in current directory tree and also show the total counts",wc `find | grep .php$` "Print line, word and byte count for each file recursively and also show the total counts",wc `find` Print linux group names on multiple lines instead of single line output,groups | tr \ \\n Print the list of 1st level subdirectories in /fss/fin,"find /fss/fin -d 1 -type d -name ""*"" -print" "Prints listing of a root folder including hidden files, and saves output to 'output.file'.",ls -a | tee output.file Print the list of all directories under the current directory and below,find ./ -type d -print Print the list of all files except files named BBB,find . \! -name BBB -print "Print the list of all regular files from the current directory tree that contain ""confirm"", case insensitive",find . -type f -exec grep -il confirm {} \; Print the list of all regular files residing in the current directory and below,find ./ -type f -print Print the list of directories that are present in the /mnt/raid directory tree,find /mnt/raid -type d Print the list of files and directories of the /etc directory,find /etc ! -name /etc Print the list of files and directories of the current directory,find . ! -name . -prune "Print the list of files and directories of the current directory including "".""",find . \( -name . -o -prune \) "Print the list of files from the ""/zu/durchsuchender/Ordner"" directory tree whose names begin with ""beispieldatei"" and which contain string ""Beispielinhalt""","find ""/zu/durchsuchender/Ordner"" -name ""beispieldatei*"" -print0 | xargs -0 grep -l ""Beispielinhalt""" "Print the list of files in the current directory tree ignoring .svn, .git, and other hidden directories",find . -type f -not -path '*/\.*' Print the list of files in the current directory tree skipping Git files,find . -path './.git' -prune -o -type f Print the list of files in the current directory tree skipping SVN files,find . -name .svn -a -type d -prune -o -print "Print the list of files in the current directory tree with ""xx"" preceding and following each filename",find . -exec echo xx{}xx \; Print the list of files in directory /tmp/a1 recursively,find /tmp/a1 "Print the list of files in the home directory tree whose names begin with ""Foto""",find ~ -name 'Foto*' "Prints list of folders containing '.git', searching recursively from a current folder.",find . -name '.git' | xargs -n 1 dirname Print the list of non-hidden directories in the current directory,"find -type d -maxdepth 1 ! -name "".*"" -printf ""%f\n""" "Print the list of regular files from the current directory that were last modified on November, 22","find . -maxdepth 1 -type f -newermt ""Nov 22"" \! -newermt ""Nov 23"" -exec echo {} +" Print the list of the subdirectories of the current directory,"find . -mindepth 1 -maxdepth 1 -type d -printf ""%P\n""" Print local files without descending non-local directories,find . ! -local -prune -o -print Print local SRV record of domain '_etcd-client._tcp.',"dig @""127.0.0.1"" _etcd-client._tcp. SRV" "Prints long listing of ""$dir/$file"" file.","ls -l -- ""$dir/$file""" Prints long listing of ${0} file.,ls -l ${0} "Prints long listing of content in a root folder, including hidden files, with human-readable sizes, and stores output to '/root/test.out' file.",echo 'ls -hal /root/ > /root/test.out' | sudo bash Prints long listing of content in the current folder with C-style escapes for nongraphic characters,ls -lb "Prints long listing of directories ""./my dir"" and ""./anotherdir"" sorted from oldest to newest, with appended indicators.","$ ls -Fltr ""./my dir"" ""./anotherdir""" "Prints long listing of directory $var sorted from oldest to newest, with appended indicators.",$ ls -Fltr $var Prints long listing of file 'file.ext'.,ls -al file.ext "Prints long recursive listing of all content of a current folder, saving output to 'output.file'.",ls |& tee files.txt "Prints long recursive listing of all content of a root folder, appending output to 'output.file'.",ls -lR / | tee -a output.file Print ls output for all non-empty files under under current directory,find . -type f ! -size 0 -exec ls -l '{}' \; Print how many files are inside each directory under the current one,find */ | cut -d/ -f1 | uniq -c "Print the MD5 message digest of ""/path/to/destination/file""",md5sum /path/to/destination/file Prints message info about filename and location of the current script,"echo ""The script you are running has basename `basename $0`, dirname `dirname $0`""" Print the most recently modified file,ls -1tr * | tail -1 "Print multiline text ""ONBOOT=\""YES\""\nIPADDR=10.42.84.168\nPREFIX=24"" to the terminal, replacing '\n' with newline symbol, and append that text to file /etc/sysconfig/network-scripts/ifcfg-eth4 as root user.","echo -e ""ONBOOT=\""YES\""\nIPADDR=10.42.84.168\nPREFIX=24"" | sudo tee -a /etc/sysconfig/network-scripts/ifcfg-eth4" "Print the name of ""file1"" if this file is newer than ""file2""",find file1 -prune -newer file2 Print the names of all files from the /tmp/dir1 directory tree,find /tmp/dir1 -exec basename {} \; "Print the names of all the files from directory tree ~/some/directory whose names end in ""rb""","find ~/some/directory -name ""*rb"" -exec basename {} \;" "Print the names of all files in or below the current directory, with all of the file permission bits S_ISUID, S_ISGID, and S_IWOTH set","find . -perm -o+w,+s" print the names of all of the unstripped binaries in the /usr/local directory tree. Builtin tests avoid running file on files that are not regular files or are not executable,find /usr/local -type f -perm /a=x | xargs file | grep 'not stripped' | cut -d: -f1 Print the names of all regular files in the current directory tree,find . -type f -exec echo {} \; Print name of the file system containing $path.,"df -h $path | cut -f 1 -d "" "" | tail -1" "Print the names of the subdirectories of /usr/local/svn/repos/ prepending ""/usr/local/backup"" to them",find /usr/local/svn/repos/ -maxdepth 1 -mindepth 1 -type d -exec echo /usr/local/backup{} \; Prints name of temporary file but doesn`t create nothing.,mktemp -u Print the newest *.txt file under current directory with timestamp and path,"find . -name ""*.txt"" -printf ""%T@ %p\n"" | sort | tail -1" "Print newline, word and byte count for all .h, .c, .cpp, .php and .cc files under current directory tree and also show the total counts",wc `find . -name '*.[h|c|cpp|php|cc]'` "Prints newline, word, and byte count for each *.py in a current folder.",wc *.py "Print newline, word, and byte counts of each '*.java' file within current directory, and total newline, word, and byte counts",find . -name \*.java | tr '\n' '\0' | xargs -0 wc Print nothing because B.txt is compared with itself.,comm -2 -3 <(sort -n B.txt) <(sort -n B.txt) Print NS record for domain 'domain.' from 'some.other.ip.address' nameserver,dig @some.other.ip.address domain. ns Print NS record for domain 'domain.' from 8.8.8.8 nameserver,dig @8.8.8.8 domain. ns Prints the Nth line of output from 'ls -1',ls -1 | tail -n +N | head -n1 Print numbers from 1 to 10 using up to 4 processes,seq 10 | xargs -P4 -I'{}' echo '{}' Print numbers from 1 to 10 with padded leading zeros,seq -w 1 10 Print numbers from 1 to 100,seq 1 100 Print numbers from 1 to 30 with 0 padding to a width of 2,"seq -f ""%02g"" 30" Print numbers from 1 to 30 with a leading 0,seq -w 30 "Print numbers from 1 to the number in variable ""$1""",seq $1 Print numbered list of all third-level files under the current directory,ls -d -1 $PWD/**/*/* | cat -n "Print numbered list of all top-level files in the current directory, with name containing 'android'","ls | grep ""android"" | cat -n" Print number of bytes in $file.,cat $file | wc -c "Print the number of entries (files, directories, symlinks, etc.) in the subdirectories of the current directory, stopping search at any device mount points.","sudo find . -xdev -type f | cut -d ""/"" -f 2 | sort | uniq -c | sort -n" "Prints number of files with extension ""${EXTENSION}"" in the ""${SEARCHPATH}"" directory.","echo ""Number files in SEARCH PATH with EXTENSION:"" $(ls -1 ""${SEARCHPATH}""/*.""${EXTENSION}"" | wc -l)" Print the number of lines in file.txt.,"wc -l file.txt | cut -f1 -d"" """ Print number of lines that equal in files '/home/xyz/a.csv1' and '/home/abc/tempfile',comm -12 <(sort -u /home/xyz/a.csv1) <(sort -u /home/abc/tempfile) | wc -l Print only common file names in sorted listings of directory 'dir1' and 'dir2',comm -1 -2 <(ls /dir1 | sort) <(ls /dir2 | sort) Print only common strings in sorted content of files 'file1' and 'file2',comm -1 -2 <(sort file1) <(sort file2) "Print only digits in variable ""$name""",echo $name | tr -c -d 0-9 Prints only first ten characters of each string of file $file.,cat $file | cut -c 1-10 "Print only first line of 'file' content, formatted as 29-symbol wide column",cat file | fold -w29 | head -1 "Print only first line of 'file' content, formatted as 29-symbol wide column, regarding space symbol as a word separator",cat file | fold -s -w29 | head -1 Print onlt last slash-separated field from $PATH value,"echo ""$PATH"" | rev | cut -d""/"" -f1 | rev" "Print only the line ""foo///"" given two empty directories foo and bar",find foo/// bar/// -name foo -o -name 'bar?*' Print only lines from 'file1.txt' that not present in 'file2.txt' and beginning with 'Q',cat file1.txt | grep -Fvf file2.txt | grep '^Q' "Print only the number of lines in file ""$f""",wc -l $f | tr -s ' ' | cut -d ' ' -f 1 Print only strings from file 'file2' that not found in 'file1',comm -1 -3 file1 file2 Print only unique lines in files 'set1' and 'set2',cat set1 set2 | sort -u Print only unique lines of 'file_name' file,cat -n file_name | sort -uk2 | sort -nk1 | cut -f2- Print out the contents of all *.txt files in the home directory,find ~ -name '*.txt' -print0 | xargs -0 cat "Print out the full path name of ""mypathname"" with dots resolved",readlink -ev mypathname "Print the path composed of the current working directory and the directory containing ""$0""",echo `pwd`/`dirname $0` Prints path location of $BASH_SOURCE file.,echo this dir: `dirname $BASH_SOURCE` Print the path names of all .png files in the /home/kibab directory tree,find /home/kibab -name '*.png' -exec echo '{}' ';' Print the path names of all files and directories in the current directory tree,"find -printf '""%h/%f"" '" Print the paths of the directories from the paths expanded by the glob pattern /path/to/directory/*,find /path/to/directory/* -maxdepth 0 -type d "Prints path to folder that contains file ""/path/to/vm.vmwarevm/vm.vmx"".","dirname ""/path/to/vm.vmwarevm/vm.vmx""" Prints path to the target of symbolic link 'relative/path/to/file',dirname `readlink -e relative/path/to/file` Print the pathnames of all files from the /tmp/dir1 directory tree,find /tmp/dir1 -exec echo {} \; Print pathnames of all files in the current directory and below skipping files under SCCS directories,find . -print -name SCCS -prune "Print the percentage of packets lost of the 5 packets sent to ""$host""",ping -c 5 -q $host | grep -oP '\d+(?=% packet loss)' Print permissions of every directory in the current directory tree,tree -p -d Prints process tree of a current process with id numbers and parent processes.,pstree -sp $$ Prints process tree of a process having id $ID with parent processes.,pstree -s $ID "Prints process tree, showing only strings with 'MDSImporte', and chopping output after ${WIDTH} characters.",pstree | grep MDSImporte | cut -c 1-${WIDTH} Prints process tree with command line arguments of a process having id $PID.,"pstree -a ""$PID""" Prints real path of the folder containing $0 file.,"$(readlink -f $(dirname ""$0""))" Print received input to the terminal,tee "Print relative path of device of disk with UUID ""b928a862-6b3c-45a8-82fe-8f1db2863be3""",readlink /dev/disk/by-uuid/b928a862-6b3c-45a8-82fe-8f1db2863be3 Print revesed second from the end dot-bounded field in $i value,"j=`echo $i | rev | cut -d ""."" -f2`;" Prints running process that has id 'pid' with command line arguments.,pstree -a pid Print second field from semicolon-seprated line $string.,echo $string | cut -d';' -f2 Print second field from semicolon-seprated line .,"echo """" | cut -d "";"" -f 2" Print second section of data coming from stdin where sections are separated by one or more whitespace.,tr -s ' ' | cut -d ' ' -f 2 "Print second section of space-separated data from text file ""a"".","cut ""-d "" -f2 a" Print sed commands that would replace all occurrences of 'previousword' with 'newword' in all regular files with '.cpp' extension under '/myprojects' directory tree,find /myprojects -type f -name '*.cpp' -print0 | xargs -0 echo sed -i 's/previousword/newword/g' Prints sequentially listing of a current folder and calendar of a current month.,"echo `ls` ""`cal`""" Prints shell option 'globstar' with indication of its status.,shopt -p globstar Print short DNS lookup for each domain name in a file 'list',dig +short -f list Print short TXT record of domain o-o.myaddr.l.google.com from nameserver 8.8.8.8,dig TXT +short o-o.myaddr.l.google.com @8.8.8.8 Print short TXT record of domain o-o.myaddr.l.google.com from nameserver ns1.google.com,dig TXT +short o-o.myaddr.l.google.com @ns1.google.com Print the sizes of all files from the current directory tree,find . -iname '*.jpg' -type f -printf +%b Prints sorted list of logged in users.,w -h | cut -d' ' -f1 | sort | uniq Print the sorted unique column of usernames of users who are currently logged in without the header,finger | cut -d ' ' -f1 | sort -u | grep -iv login Print the sorted uniqe list of folders in compressed archive nginx-1.0.0.tar.gz,tar tf nginx-1.0.0.tar.gz | xargs dirname | sort | uniq Print source directory of bash script,"dirname ""$(readlink -f ""$0"")""" "Print space separated list of numbers from ""$start"" to ""$end""",echo `seq $start $end` "Print standard input to standard output line by line, discarding any adjascent duplicate lines.",uniq Print summary of files present only in dir1.,diff -rq dir1 dir2 | grep 'Only in dir1/' Print symlink resolved script file name,echo $(basename $(readlink -nf $0)) Print the time of last boot,who -b Print TXT record with server`s hostname from nameserver 'server',dig @server hostname.bind ch txt Print the type of the current shell,echo $(cat /proc/$$/cmdline) Print the unique lines from standard input preserving the order they appear,nl -n ln | sort -u -k 2| sort -k 1n | cut -f 2- "Print unique lines in ""file1"" compared to ""file2"" in the order they appear",comm -23 <(sort file1) <(sort file2)|grep -f - file1 "Print unique lines in ""file_a"" and ""file_b""",sort file_a file_b|uniq -u "Print unique lines in sorted ""file1"" compared to sorted file ""file2""",comm -23 file1 file2 "Print unique lines in sorted file ""a.txt"" compared to sorted file ""b.txt""",comm -23 a.txt b.txt "Print unique lines of ""a"" and ""b""",comm -3 a b "Print unique lines of ""second-file-sorted.txt"" compared to ""first-file-sorted.txt""",comm -23 second-file-sorted.txt first-file-sorted.txt "Print unique lines of sorted file ""a"" compared with sorted file ""b""",comm -23 a b "Print unique lines of sorted file ""b"" compared with sorted file ""a""",comm -13 a b "Print unique lines of sorted file ""f1"" compared to sorted file ""f2""",comm -2 -3 f1 f2 "Print unique lines of sorted file ""file1"" when compared with the list of first space separated fields of all sorted strings of file ""file2""",cut -d' ' -f1 file2 | comm -13 - file1 "Print unique lines of sorted file ""second.txt"" compared to sorted file ""first.txt""",comm -13 first.txt second.txt Print unique list of who is logged in and the time of login formatted in columns,who -su | sort | uniq | column "Print variable ""$OPTARG"" ""$opt"" times","yes ""$OPTARG"" | head -$opt" "Print variable ""$module"" in formatted columns with at most 80 characters per line",echo $modules | column -t | fold | column -t "Print variable ""$opt"" with double quotes deleted","echo ""$opt"" | tr -d '""'" Print what year it was 222 days ago,date '+%Y' --date='222 days ago' Prints what year it was 222 days ago,"date --date=""222 days ago"" +""%Y""" "Print whether ""$file"" and ""${file/${dir1}/${dir2}}"" differ","diff -q ""$file"" ""${file/${dir1}/${dir2}}""" "Print whether the sorted contents of ""set1"" and ""set2"" differ",diff -q <(sort set1) <(sort set2) "Print whether the unique contents of ""set1"" and ""set2"" differ",diff -q <(sort set1 | uniq) <(sort set2 | uniq) "Print which files differ between dir1 and dir2, treating absent files as empty",diff --brief -Nr dir1/ dir2/ "Print which files differ in ""PATH1/"" and ""PATH2/"" recursively excluding any files that match any pattern in ""file1""",diff PATH1/ PATH2/ -rq -X file1 "Print which files differ in ""dir1"" and ""dir2"" recursively",diff -qr dir1 dir2 Prints year-month-date format for given time,"date -d ""yesterday 13:00"" '+%Y-%m-%d'" Print yesterday's date,date -j -v-1d Print yesterday's date as yyy:mm:dd,"date +%Y:%m:%d -d ""1 day ago""" Prints yesterday's date information,"date --date yesterday ""+%a %d/%m/%Y""" "Print yesterday's date information in ""%a %d/%m/%Y"" format","date -d ""-1 days"" +""%a %d/%m/%Y""" Print your/dir if it's an empty directory,find your/dir -prune -empty -type d Processes all files recursively in /var/spool/cron/tabs folder and filters out all strings with '#'.,"grep -v ""#"" -R /var/spool/cron/tabs" "Prompt the user with a question ""This is the question I want to ask?"" and save ""y"" or ""n"" in variable ""REPLY"" in zsh","read REPLY\?""This is the question I want to ask?""" Put the absolute directory path to the current script to MY_DIR variable,MY_DIR=$(dirname $(readlink -f $0)) Query about which keys invoke the named function,bind -q complete Query SRV records for domain '_kerberos._udp.foo.com',dig -t SRV _kerberos._udp.foo.com Read 10 bytes from $0 and print them by replacing the set '\000-\377' with '#',"head -c 10 ""$0"" | tr '\000-\377' '#'" "Read a line from an interactive shell's standard input into variable ""message"" without backslash escapes and prompt $'Please Enter a Message:\n'",read -rep $'Please Enter a Message:\n' message Read a line from standard input,read "Read a line from standard input and save each word in the bash array variable ""first""",read -a first Read a line from standard input and save received words sequentially in variables XPID XUSERID XPRIORITY XVIRTUAL XRESIDENT XSHARED XSTATE XCPU XMEM XTIME XCOMMAND,read XPID XUSERID XPRIORITY XVIRTUAL XRESIDENT XSHARED XSTATE XCPU XMEM XTIME XCOMMAND "Read a line from standard input and save response in variable ""VARNAME""",read VARNAME "Read a line from standard input in an interactive shell into variable ""input"" with prompt ""Do that? [Y,n]"" and suggestion ""Y""","read -e -p ""Do that? [Y,n]"" -i Y input" "Read a line from standard input in an interactive shell with prompt in variable ""myprompt"" interpreted as PS1 is interpreted","read -e -p ""${myprompt@P}""" "Read a line from standard input into the first argument (""$1"") using an interactive shell with prompt ""> """,read -e -p '> ' $1 "Read a line from standard input into variable ""PASSWORD""",read PASSWORD "Read a line from standard input into variable ""REPLY"" with prompt ""$1 ([y]es or [N]o): ""","read -p ""$1 ([y]es or [N]o): """ "Read a line from standard input into variable ""REPLY"" with prompt ""> $line (Press Enter to continue)""","read -p ""> $line (Press Enter to continue)""" "Read a line from standard input into variable ""REPLY"" with prompt ""Press [Enter] key to release lock...""","read -p ""Press [Enter] key to release lock...""" "Read a line from standard input into variable ""YESNO"" ignoring backslash escapes and using the prompt ""$(echo $@) ? [y/N] ""","read -r -p ""$(echo $@) ? [y/N] "" YESNO" "Read a line from standard input into variable ""a"" without backslash escapes",read -r a "Read a line from standard input into variable ""date"" with prompt ""BGC enter something"", and storing typed backslash as backslash symbol",read -p 'BGG enter something:' -r data "Read a line from standard input into variable ""dir""",read dir "Read a line from standard input into variable ""foobar"" and suppress showing user input",read -s foobar "Read a line from standard input into variable ""i"" with the prompt "" Again? Y/n ""","read -p "" Again? Y/n "" i" "Read a line from standard input into variable ""message"" with escaped prompt ""\nPlease Enter\na Message: '""","read -p ""`echo -e '\nPlease Enter\na Message: '`"" message" "Read a line from standard input into variable ""message"" with prompt ""Please Enter a Message: "" followed by a newline","read -p ""Please Enter a Message: `echo $'\n> '`"" message" "Read a line from standard input into variable ""password"" without echoing the input",read -s password "Read a line from standard input into variable ""password"" without echoing the input and using the prompt ""Password: ""","read -s -p ""Password: "" password" "Read a line from standard input into variable ""prompt"" with the prompt ""Are you sure you want to continue? ""","read -p ""Are you sure you want to continue? "" prompt" "Read a line from standard input into variable ""response"" ignoring backslash escapes and using the prompt ""${1:-Are you sure? [y/N]} ""","read -r -p ""${1:-Are you sure? [y/N]} "" response" "Read a line from standard input into variable ""response"" ignoring backslash escapes and using the prompt ""Are you sure? [y/N] ""","read -r -p ""Are you sure? [y/N] "" response" "Read a line from standard input into variable ""response"" without backslash escapes using the prompt ""About to delete all items from history that match \""$param\"". Are you sure? [y/N] ""","read -r -p ""About to delete all items from history that match \""$param\"". Are you sure? [y/N] "" response" "Read a line from standard input into variable ""text"" with the prompt "" Enter Here: ""","read -p "" Enter Here : "" text" "Read a line from standard input into the variable ""yn"" using the first argument as the prompt (""$1 "")","read -p ""$1 "" yn" "Read a line from standard input into the variable ""yn"" with the prompt ""Do you wish to install this program?""","read -p ""Do you wish to install this program?"" yn" "Read a line from standard input with a timeout of 0.1 seconds and prompt ""This will be sent to stderr""","read -t 0.1 -p ""This will be sent to stderr""" Read a line from standard input with a timeout of 10 seconds,read -t 10 "Read a line from standard input with prompt "" : y/n/cancel"" and save the response to variable ""CONDITION""","read -p "" : y/n/cancel"" CONDITION;" "Read a line from standard input with prompt ""Are you alright? (y/n) "" and save the response to variable ""RESP""","read -p ""Are you alright? (y/n) "" RESP" "Read a line from standard input with prompt ""Are you sure you wish to continue?""","read -p ""Are you sure you wish to continue?""" "Read a line from standard input with prompt ""Are you sure? [Y/n]"" and save response in variable ""response""","read -r -p ""Are you sure? [Y/n]"" response" "Read a line from standard input with prompt ""Continue (y/n)?"" and save response in variable ""CONT""","read -p ""Continue (y/n)?"" CONT" "Read a line from standard input with prompt ""Continue (y/n)?"" and save response in variable ""choice""","read -p ""Continue (y/n)?"" choice" "Read a line from standard input with prompt ""Enter your choice: "" and save response to variable ""choice""","read -p ""Enter your choice: "" choice" "Read a line from standard input with prompt ""Enter your choice: "", arrow keys enabled, and ""yes"" as the default input, and save the response to variable ""choice""","read -e -i ""yes"" -p ""Enter your choice: "" choice" "Read a line from standard input with prompt ""Is this a good question (y/n)? "" and save the response to variable ""answer""","read -p ""Is this a good question (y/n)? "" answer" Read a line of standard input in an interactive shell,read -e "Read a line of standard input into variable ""_command"" with the prompt as the current working directory followed by ""$""","read -p ""`pwd -P`\$ "" _command" "Read a line of standard input into variable ""input_cmd"" with prompt ""command : ""","read -p ""command : "" input_cmd" "Read a line of standard input with prompt ""Enter your choice: "" in an interactive shell and save the response to variable ""choice""","read -e -p ""Enter your choice: "" choice" Read a single character from standard input and do not allow backslash to escape characters,read -rn1 "Read a single character from standard input into variable ""REPLY"" ignoring backslash escapes and using the prompt ""${1:-Continue?} [y/n]: ""","read -r -n 1 -p ""${1:-Continue?} [y/n]: "" REPLY" "Read a single character from standard input into variable ""ans""",read -n1 ans "Read a single character from standard input into variable ""doit"" with prompt ""Do that? [y,n]""","read -n1 -p ""Do that? [y,n]"" doit" "Read a single character from standard input into variable ""key"" without backslash escapes and using an interactive shell with the prompt $'Are you sure (Y/n) : ' and default value $'Y'",read -rp $'Are you sure (Y/n) : ' -ei $'Y' key "Read a single character from standard input into variable ""key"" without backslash escapes and using the prompt ""Press any key to continue...""","read -n1 -r -p ""Press any key to continue..."" key" "Read a single character from standard input into variable ""key"" without backslash escapes, with a timeout of 5 seconds, and with the prompt ""Press any key in the next five seconds...""",read -t5 -n1 -r -p 'Press any key in the next five seconds...' key "Read a single character from standard input into variable ""runCommand"" with the prompt ""Pick a letter to run a command [A, B, or C for more info] ""","read -n1 -p ""Pick a letter to run a command [A, B, or C for more info] "" runCommand" Read a single character from standard input with delimeter '' and no echo,read -d'' -s -n1 "Read a single character from standard input with prompt ""Are you sure? (y/n) ""","read -p ""Are you sure? (y/n) "" -n 1" "Read a single character from standard input with prompt ""Are you sure? ""","read -p ""Are you sure? "" -n 1 -r" "Read a single character from standard input with prompt ""Is this a good question (y/n)? "" and timeout of 3 seconds and save the response to variable ""answer""","read -t 3 -n 1 -p ""Is this a good question (y/n)? "" answer" "Read a single character from standard input with prompt ""Is this a good question (y/n)?"" and save the response to variable ""answer""","read -n 1 -p ""Is this a good question (y/n)? "" answer" "Read a single line from standard input and save to variable ""line""",read line read all history lines not already read from the history file,history -n "Read the first 10 characters from standard input in an interactive shell into variable ""VAR""",read -n10 -e VAR "Read hexadecimal bytes from device ""/dev/midi1""",od -vtx1 /dev/midi1 Read the history file $HISTFILE and append the contents to the history list,"history -r ""$HISTFILE"" #Alternative: exec bash" Read the history file and append the contents to the history list,history -r Read line from file descriptor 4 and store received input in 'line' variable,read -u 4 line Read lookup requests from text file '1.txt' and uses them to fetch TXT records.,dig TXT -f 1.txt "Read one character from standard input into variable ""REPLY""",read -n 1 -r "Read the raw input of ""/dev/input/mice"" as hexadecimal bytes with 3 bytes per line",cat /dev/input/mice | od -t x1 -w3 "Read standard input until a null character is found and save the result in variable ""line""",read -d '' line "Read yesterday's date with format ""%a %d/%m/%Y"" into variable ""dt"" in a subshell","date --date yesterday ""+%a %d/%m/%Y"" | read dt" recall the second argument from a previous command by pressing alt-shift-y,"bind '""\eY"": ""\e2\e.""'" Receive input and print it to terminal,cat Receive input and print it to terminal preceeding with line number,cat -n Records the number of occurences of 'needle' in the array 'haystack' into the variable 'inarray',"inarray=$(echo ${haystack[@]} | grep -o ""needle"" | wc -w)" "Recursively add "".jpg"" to all files in the current directory tree",find . -type f -exec mv '{}' '{}'.jpg \; "Recursively add "".jpg"" to all files without an extension in the directory tree ""/path""","find /path -type f -not -name ""*.*"" -exec mv ""{}"" ""{}"".jpg \;" Recursively add read and directory access to all permissions of all files and directories,chmod -R a+rX * "Recursively add read and execute permissions to all files and folders in ""directory""",chmod -R +xr directory "Recursively add user write permission to all files under ""/path/to/git/repo/objects""",chmod -Rf u+w /path/to/git/repo/objects Recursively and forcibly removes $TMP folder with all content.,"rm -fR ""${TMP}/"";" "Recursively archive ""test/a/"" to ""test/dest"" excluding ""test/a/b/c/d""",rsync -nvraL test/a/ test/dest --exclude=/b/c/d "Recursively change ""/usr/local"" owner to the current user and group to admin",sudo chown -R $(whoami):admin /usr/local "Recursively change all permissions under ""theDirectory/"" to 777(read,write,execute for all users)",sudo chmod -R 777 theDirectory/ "Recursively change the group of all files in ""/tmp/php_session"" to ""daemon""",chown -R :daemon /tmp/php_session Recursively changes group ownership of the $PATH_TO_OUTPUT_FOLDER directory to $GROUP group.,chgrp -R $GROUP $PATH_TO_OUTPUT_FOLDER Recursively changes group ownership of every file in '/var/tmp/jinfo' to 'www-data'.,chgrp -R www-data /var/tmp/jinfo Recursively changes group ownership of everything in '/home/secondacc/public_html/community/' to 'fancyhomepage'.,chgrp -R fancyhomepage /home/secondacc/public_html/community/ Recursively changes group ownership of everything in 'files' to 'apache_user'.,chgrp -R apache_user files Recursively changes group ownership of everything in 'files' to 'my_group'.,chgrp -R my_group files Recursively changes group ownership of everything in a '/home/user1/project/dev' folder to 'project_dev'.,chgrp -R project_dev /home/user1/project/dev Recursively changes group ownership of everything within '.git' to 'git'.,chgrp -R git .git Recursively changes group ownership of everything within '/git/our_repos' to 'shared_group'.,chgrp -R shared_group /git/our_repos Recursively changes group ownership of everything within a current directory to 'repogroup'.,chgrp -R repogroup . Recursively changes group ownership of everything within a current folder and having group 'X_GNAME' to 'Y_GNAME'.,find . -group X_GNAME -exec chgrp Y_GNAME {} + Recursively changes group ownership of everything within current folder to 'git'.,chgrp -R git ./ Recursively changes group ownership on every file in the ${WP_ROOT}/wp-content directory to ${WS_GROUP} group.,find ${WP_ROOT}/wp-content -exec chgrp ${WS_GROUP} {} \; Recursively changes group ownership on everything in the 'public_html' folder to 'website' group.,chgrp --recursive website public_html "Recursively change the group ownership to ""laravel"" in ""./bootstrap/cache""",sudo chown -R :laravel ./bootstrap/cache "Recursively change the group ownership to ""laravel"" in ""./storage""",sudo chown -R :laravel ./storage "Recursively change the owner and group of ""/home/el/svnworkspace"" and ""775"" to ""your_user_name""",chown -R your_user_name.your_user_name 775 /home/el/svnworkspace "Recursively change the owner and group of ""/opt/antoniod/"" to ""antoniod""",chown -R antoniod:antoniod /opt/antoniod/ "Recursively change owner and group of ""/usr/local/rvm/gems/ruby-2.0.0-p481/"" to the current user",sudo chown $(whoami):$(whoami) /usr/local/rvm/gems/ruby-2.0.0-p481/ -R "Recursively change the owner and group of ""/var/antoniod-data/"" to ""antoniod""",chown -R antoniod:antoniod /var/antoniod-data/ "Recursively change the owner and group of ""/workspace"" and ""775"" to ""your_user_name""",chown -R your_user_name.your_user_name 775 /workspace "Recursively change the owner and group of ""subdir1"" to ""user1""",chown user1:user1 -R subdir1 "Recursively change the owner and group of ""subdir2"" to ""user2""",chown user2:user2 -R subdir2 "Recursively change the owner and group of ""subdir3"" to ""user3""",chown user3:user3 -R subdir3 "Recursively change the owner and group of ""~/.ssh/"" to ""dev_user""","chown ""dev_user"".""dev_user"" -R ~/.ssh/" "Recursively change the owner and group of all files in ""/your/directory/to/fuel/"" to ""nginx""",chown nginx:nginx /your/directory/to/fuel/ -R "Recursively change the owner and group of all files in the current directory to ""andrewr""",chown -R andrewr:andrewr * "recursively change owner and group of the directory and all files into it to user ""user"" and group ""www-data""",chown -R user:www-data yourprojectfoldername recursively change owner and group of the directory and all files into it to user root and group root,chown -R root:root /var/lib/jenkins "Recursively change owner and group to ""$JBOSS_AS_USER"" of ""$JBOSS_AS_DIR""",chown -R $JBOSS_AS_USER:$JBOSS_AS_USER $JBOSS_AS_DIR "Recursively change owner and group to ""$JBOSS_AS_USER"" of ""$JBOSS_AS_DIR/""",chown -R $JBOSS_AS_USER:$JBOSS_AS_USER $JBOSS_AS_DIR/ "Recursively change owner and group to ""tomcat7"" of ""webapps"", ""temp"", ""logs"", ""work"", and ""conf""",chown -R tomcat7:tomcat7 webapps temp logs work conf "Recursively change the owner of all files in ""/usr/local/lib/node_modules"" to the current user",sudo chown -R $USER /usr/local/lib/node_modules "Recursively change the owner of all files in ""testproject/"" to ""ftpuser""",chown ftpuser testproject/ -R "Recursively change the owner of all files in ""upload_directory"" to ""nobody""",chown -R nobody upload_directory recursively change owner of the directory /Users/xxx/Library/Developer/Xcode/Templates and all files to user xxx,sudo chown -R xxx /Users/xxx/Library/Developer/Xcode/Templates recursively change owner of the directory /tmp to the current user,sudo chown -R $USER ~/tmp recursively change owner of the directory /usr/local to the current user,sudo chown -R `whoami` /usr/local recursively change owner of the directory /usr/local/lib to the current user,sudo chown -R `whoami` /usr/local/lib recursively change owner of the directory ~/.npm to the current user,sudo chown -R $(whoami) ~/.npm "Recursively change owner to ""$1"" and group to ""httpd"" of all files in the current directory",chown -R $1:httpd * "Recursively change the owner to ""$USER"" and group to ""$GROUP"" of ""/var/log/cassandra""",sudo chown -R $USER:$GROUP /var/log/cassandra "Recursively change owner to ""amzadm"" and group to ""root"" of all files in ""/usr/lib/python2.6/site-packages/""",chown amzadm.root -R /usr/lib/python2.6/site-packages/ "Recursively change the owner to ""ec2-user"" and group to ""apache"" of all files in ""/vol/html""",sudo chown -R ec2-user:apache /vol/html "Recursively change owner to ""tomcat6"" of ""webapps"", ""temp"", ""logs"", ""work"", and ""conf""",chown -R tomcat6 webapps temp logs work conf "Recursively change owner to ""www-data"" of ""/var/www/.gnome2"", ""/var/www/.config"", and ""/var/www/.config/inkscape""",chown -R www-data /var/www/.gnome2 /var/www/.config /var/www/.config/inkscape "Recursively change ownership of ""/usr/lib/node_modules/"" to the current user",sudo chown -R $(whoami) /usr/lib/node_modules/ "Recursively change ownership of ""/usr/local/lib/node_modules"" to the current user",sudo chown -R `whoami` /usr/local/lib/node_modules "Recursively change the ownership of all directories in the current directory excluding ""foo"" to ""Camsoft""","ls -d * | grep -v foo | xargs -d ""\n"" chown -R Camsoft" "Recursively change the user and group of all files in ""/var/cache/jenkins"" to ""root""",chown -R root:root /var/cache/jenkins "Recursively change the user and group of all files in ""/var/log/jenkins"" to ""root""",chown -R root:root /var/log/jenkins recursively change user of the direct /home/test/ and all files into it to user test,sudo chown -R test /home/test recursively change user of the direct public_html and all files into it to user owner,chown -R owner:owner public_html Recursively compresses all files within $2 folder.,find $2 -type f -exec bzip2 {} \; Recursively compress every file in the current directory tree and keep the original file,gzip -kr . "Recursively copies ""$1"" to ""$2"".","cp -R ""$1"" ""$2""" "Recursively copies ""$appname.app"", preserving symlinks as symlinks to the 'Payload' directory.","cp -Rp ""$appname.app"" Payload/" "Recursively copy ""/path/to/data/myappdata/*.txt"" to ""user@host:/remote/path/to/data/myappdata/""",rsync -rvv /path/to/data/myappdata/*.txt user@host:/remote/path/to/data/myappdata/ "Recursively copy ""dir_a"" to ""dir_b"" and delete any new files in ""dir_b""",rsync -u -r --delete dir_a dir_b "Recursively copy ""dir_b"" to ""dir_a"" and delete any new files in ""dir_a""",rsync -u -r --delete dir_b dir_a "Recursively copy ""old/"" to ""new/"" as a dry run skipping files that have matching checksums and output the name only","rsync -rcn --out-format=""%n"" old/ new/" "Recursively copy ""original_dir"" to ""copy_dir"" preserving file/dir timestamps, displaying progress, and skipping files which match in size, keeps partially transferred files.",rsync -Prt --size-only original_dir copy_dir "Recursively copy ""source"", ""dir"", and ""target"" to ""dir"" as a dry run",rsync -rvc --delete --size-only --dry-run source dir target dir Recursively copies '../include/gtest' directory to '~/usr/gtest/include/'.,cp -r ../include/gtest ~/usr/gtest/include/ Recursively copies 'SRCFOLDER' to the 'DESTFOLDER/',cp -R SRCFOLDER DESTFOLDER/ "Recursively copy /path/to/foo on host ""your.server.example.com"" to local directory ""/home/user/Desktop"", connecting as ssh username ""user"".",scp -r user@your.server.example.com:/path/to/foo /home/user/Desktop/ "Recursively copy all "".txt"" files to ""user@remote.machine:/tmp/newdir/""",rsync -rvv *.txt user@remote.machine:/tmp/newdir/ "Recursively copy all files and directories in ""demo"" excluding "".git"" to ""demo_bkp""",find demo -depth -name .git -prune -o -print0 | cpio -0pdv --quiet demo_bkp "Recursively copy all (non-hidden) files and directories in current dir except ""foo"" to location specified by variable ""other""","rsync --recursive --exclude 'foo' * ""$other""" "Recursively copy all files and directories matching ""*ela*L1*TE*"" in localhost's directory /tdggendska10/vig-preview-dmz-prod/docs/sbo/pdf/ to /var/www/html/sbo/2010/teacher/ela/level1 on localhost connecting as ssh user ""dalvarado"", in batch mode (no prompt for passwords) preserving file permissions and timestamps, and without displaying progress information.",scp -Bpqr /tdggendska10/vig-preview-dmz-prod/docs/sbo/pdf/*ela*L1*TE* dalvarado@localhost:/var/www/html/sbo/2010/teacher/ela/level1 "Recursively copy all files and folders in the current directory excluding ""exclude_pattern"" to ""/to/where/""",rsync -r --verbose --exclude 'exclude_pattern' ./* /to/where/ "Recursively copies all files in the current directory but ones that names match pattern ""dirToExclude|targetDir"" to the 'targetDir' directory, printing info message on each operation.","cp -rv `ls -A | grep -vE ""dirToExclude|targetDir""` targetDir" Recursively copies all files in the current directory but ones with 'c' in name to the home directory.,"cp -r `ls -A | grep -v ""c""` $HOME/" "Recursively copy all files matching ""*.sh"" in ""$from"" to ""root@$host:/home/tmp/"" compressing data during transmission","rsync -zvr --exclude=""*"" --include=""*.sh"" --include=""*/"" ""$from"" root@$host:/home/tmp/" "Recursively copy all regular files below current directory to directory /tmp on hostname, connecting as ssh user matching current username on local host.",find . -type f -exec scp {} hostname:/tmp/{} \; "Recursively copy directory ""/path/to/data/myappdata"" to ""user@host:/remote/path/to/data/myappdata""",rsync -rvv /path/to/data/myappdata user@host:/remote/path/to/data/myappdata "Recursively copy directory ""/path/to/data/myappdata"" to ""user@host:/remote/path/to/data/newdirname""",rsync -rvv --recursive /path/to/data/myappdata user@host:/remote/path/to/data/newdirname "Recursively copy directories ""A"" and ""D"" to directory ""/path/to/target/directory"" on host ""anotherhost"", connecting as ssh user matching current user on local host, via default TCP port for ssh (22).",scp -r A D anotherhost:/path/to/target/directory "Recursively copy directory or file /something on host ""myServer"" to current directory on local host, connecting as ssh user matching username on local host.",scp -r myServer:/something "Recursively copies everything from '/source/path/*' to the '/destination/path/', preserving from overwriting existing files, and printing info message on each operation.",cp -Rvn /source/path/* /destination/path/ Recursively copies everything under the 'current' folder to the '.hiddendir' folder.,cp * .hiddendir -R "recursively delete, without prompting, directories under /data/bin/test, that are older than 10 days and where the name starts with a number","find /data/bin/test -type d -mtime +10 -name ""[0-9]*"" -exec rm -rf {} \;" "Recursively finds 'pattern' in files from current folder, and prints matched string with number only if matching whole word.","grep -rnw ""pattern""" "Recursively finds all ""*.pas"" and ""*.dfm"" files and prints strings with ""searchtext"" ignoring text distinctions, suppressing error messages, highlighting found patterns and preceding each found string with file name and string number.","find . -type f \( -name ""*.pas"" -o -name ""*.dfm"" \) -print0 | xargs --null grep --with-filename --line-number --no-messages --color --ignore-case ""searchtext""" "Recursively finds all ""file_pattern_name"" files and folders and prints strings with ""pattern"", searching through found folders recursively.","find ./ -name ""file_pattern_name"" -exec grep -r ""pattern"" {} \;" Recursively finds all '*.pdf' files in a current folder and removes them.,"find . -name ""*.pdf"" -exec rm {} \;" Recursively finds all '*.png' files older than 50 days in a current folder and removes them.,"find . -name ""*.png"" -mtime +50 -exec rm {} \;" Recursively finds all 'STATUS.txt' files containing text 'OPEN' and prints containing folder of them.,fgrep --include='STATUS.txt' -rl 'OPEN' | xargs -L 1 dirname "Recursively finds all *.txt files and prints strings with ""text_pattern"" ignoring text distincts.","find . -name ""*.txt"" | xargs grep -i ""text_pattern""" Recursively finds all bzip2 compressed files in a current folder and decompresses them.,"find ./ -iname ""*.bz2"" -exec bzip2 -d {} \;" "Recursively finds all files and prints only names of files that contain ""word"" and suppressing error messages .",find . | xargs grep 'word' -sl Recursively find all files ending with '*.txt' and print they names and content,find . -name \*.txt -print -exec cat {} \; "Recursively find all files in the directory ""posns"" and split each one into files of at most 10000 lines each",find posns -type f -exec split -l 10000 {} \; "Recursively finds all files in root folder and prints all strings with 'text-to-find-here' from that files, ignoring binary files.","find / -type f -exec grep -l ""text-to-find-here"" {} \;" recursively finds all files newer than a date,"find . -type f -newermt ""$(date '+%Y-%m-%d %H:%M:%S' -d @1494500000)""" "Recursively finds all files not like *.itp, *ane.gro, *.top in a current folder and removes them.",find . -depth -type f -not -name *.itp -and -not -name *ane.gro -and -not -name *.top -exec rm '{}' + Recursively finds all folders in a current folder that contain files like '.git'.,find . -name '.git' | xargs dirname Recursively finds and compresses all files in a current folder.,find . -type f -exec bzip2 {} + Recursively finds and compresses all files in a current folder with 4 parallel processes.,find . -type f -print0 | xargs -0 -n1 -P4 bzip2 Recursively finds and compresses all files in the directory '/path/to/dir',find /path/to/dir -type f -exec bzip2 {} \; "Recursively finds files like '*.js', and filters out files with 'excludeddir' in path.",find . -name '*.js' | grep -v excludeddir "Recursively finds file some_file_name.xml file and prints strings with ""PUT_YOUR_STRING_HERE"" preceding each found string with file name.",find . -type f -name some_file_name.xml -exec grep -H PUT_YOUR_STRING_HERE {} \; Recursively finds string 'class foo' in all *.c files from current folder.,"grep ""class foo"" **/*.c" "Recursively find strings in all files under current directory, that matching with comma-separated patterns list in file 'searches-txt'","cat searches.txt| xargs -I {} -d, -n 1 grep -r {}" "Recursively finds strings like ""texthere"" in all ""*.txt"" files of a current folder.","grep -r --include ""*.txt"" texthere ." "Recursively findsfiles with text pattern in current folder, ingnoring case differences, prefixes each matched line with number in file and suppresses error messages about nonexistent or unreadable files.","grep -insr ""pattern"" *" Recursively lists all files in a current folder in long format.,ls -ld $(find .) "Recursively lists all files in a current folder in long format, sorting by modification time.",ls -ldt $(find .) "Recursively move all files in ""/path/to/srcdir"" to ""dest/""",find /path/to/srcdir -type f -print0 | xargs -0 -i% mv % dest/ Recursively prints .txt files in current directory,find $(pwd) -name \*.txt -print Recursively print all directories in the current directory tree,tree -d "Recursively prints all files in a current folders, and searches ""stringYouWannaFind"" in file content ignoring case differences, and preceding found string with its number in file.","find ./ -type f -print -exec grep -n -i ""stringYouWannaFind"" {} \;" "Recursively removes $TMPDIR folder, prompting user on each deletion.",rm -r $TMPDIR "Recursively removes 'classes' folder, prompting user on each deletion.",rm -r classes Recursively removes all files and folders like 'FILE-TO-FIND' from current folder.,"find . -name ""FILE-TO-FIND"" -exec rm -rf {} +" Recursively removes all files and folders named '.svn' in a current folder.,find . -name .svn -exec rm -rf {} + "Recursively removes all files and folders that match pattern '/usr/local/{lib/node{,/.npm,_modules},bin,share/man}/npm*'","rm -rf /usr/local/{lib/node{,/.npm,_modules},bin,share/man}/npm*" "Recursively removes all files like ""(__pycache__|\.pyc|\.pyo$)"" in a current folder.","find . | grep -E ""(__pycache__|\.pyc|\.pyo$)"" | xargs rm -rf" "Recursively removes all files like '*.pyc' in a current folder, printing info message about each action.","find . -name ""*.pyc"" | xargs -I {} rm -v ""{}""" Recursively removes all files like '*.pyc' of '*.pyo' in a current folder without prompting.,"find . -type f -name ""*.py[c|o]"" -exec rm -f {} +" Recursively removes all files like '*.r*' in current folder and removes folders with such files if they become empty.,"find ./ -type f -name '*.r*' -delete -printf ""%h\0"" | xargs -0 rmdir" Recursively removes all files like '*.xyz' in a current folder.,find . -name \*.xyz -exec rm {} \; Recursively removes all files like '._*' from current folder.,"find . -name ""._*"" -print0 | xargs -0 rm -rf" Recursively removes all files like '4' under folder './a' and removes folders with such files if they become empty.,"find a -type f -name '4' -delete -printf ""%h\0"" | xargs -0 -r rmdir" Recursively removes all files like '_*' and '.DS_Store' from /var/www/html/ folder.,rm /var/www/html/**/_* /var/www/html/**/.DS_Store "Recursively removes all files with name like ""*.war"" in /home/ubuntu/wars folder.","find /home/ubuntu/wars -type f -name ""*.war"" -exec rm {} \\;" Recursively removes all folders named '.svn' in a current folder.,find . -type d -name .svn -print0|xargs -0 rm -rf "Recursively search for all directories containing ""foo"" (case insensitive) under the current directory, renaming them to replace ""foo"" (case insensitive) with ""Bar""",find . -type d -iname '*foo*' -depth -exec rename 's@Foo@Bar@gi' {} + "Recursively search for all files not ending in "".xml"" under the current directory, append "".xml"" to the end of each file name",find . -type f \! -name '*.xml' -print0 | xargs -0 rename 's/$/.xml/' "Recursively search for all files with names ending with ""_test.rb"", renaming them to end with ""_spec.rb"".","find . -name ""*_test.rb"" | xargs rename s/_test/_spec/" "Recursively search for all files with names ending with ""_test.rb"", renaming them to end with ""_spec.rb"", using at most 1000000 characters per command.","find . -name ""*_test.rb"" | xargs -s 1000000 rename s/_test/_spec/" "Recursively search for all files with names ending with ""_test.rb"", renaming them to end with ""_spec.rb"", using at most 4 concurrent processes.","find . -name ""*_test.rb"" | xargs -P 4 rename s/_test/_spec/" "Recursively set all permissions under ""/folder"" to 755",chmod 755 /folder -R "Recursively set all permissions under ""/opt/lampp/htdocs"" to 755",sudo chmod 755 -R /opt/lampp/htdocs "Remount ""/"" with read and write permission","mount / -o remount,rw" "Remount ""/"" without writing in ""/etc/mtab""",mount -n -o remount / "Remount ""/dev/block/mtdblock3"" on ""/system"" with read and write permission","mount -o remount,rw -t yaffs2 /dev/block/mtdblock3 /system" "Remount ""/dev/block/mtdblock3"" on ""/system"" with read only permission","mount -o remount,ro -t yaffs2 /dev/block/mtdblock3 /system" "Remount ""/dev/stl12"" on ""/system"" as read and write","mount -o rw,remount /dev/stl12 /system" "Remount ""/dev/stl12"" on ""/system"" as read only","mount -o ro,remount /dev/stl12 /system" "Remount ""/home/evgeny"" with the ""suid"" flag set","sudo mount -i -o remount,suid /home/evgeny" "Remount ""/media/Working/"" with a umask of 000","mount /media/Working/ -oremount,umask=000" "Remount ""/mnt/mountpoint"" as read only","mount /mnt/mountpoint -oremount,ro" "Remount ""/mnt/mountpoint"" with read and write permission","mount /mnt/mountpoint -oremount,rw" "Remount ""/path/to/chroot/jail/usr/bin"" as read only","mount -o remount,ro /path/to/chroot/jail/usr/bin" "Remount ""/system"" as read only","mount -o remount,ro /system" "Remount ""extX"" filesystem ""/dev/hdaX"" on ""/"" without writing in ""/etc/mtab""",mount -n -o remount -t extX /dev/hdaX / "Remount ""rfs"" filesystem ""/dev/stl12"" on ""/system"" with read and write permission","mount -o rw,remount -t rfs /dev/stl12 /system" "Remount ""yaffs2"" filesystem ""/dev/block/mtdblk4"" to ""/system"" as read only","mount -o ro,remount -t yaffs2 /dev/block/mtdblk4 /system" Remount the root file system with read and write permission,"mount -o rw,remount -t rootfs /" "Remount root filesystem ""/""",mount -oremount / "Remount subtree ""/outside"" to ""/inside"" as a bind",mount /outside /inside -o bind "Remove the ""123_"" prefix from all filenames of .txt files in current directory.","find -name ""123*.txt"" -exec rename 's/^123_//' {} "";""" "Remove ""\n"" from ""test1\ntest2\ntest3"" and search for ""test1.*test3""","echo -e ""test1\ntest2\ntest3"" |tr -d '\n' |grep ""test1.*test3""" "Remove ""_dbg"" from all file or directory names under the current directory",rename _dbg.txt .txt **/*dbg* "Removes 'folderName', and removes all content within if 'folderName' is folder.",rm -rf folderName Removes 'latest' folder if empty.,rmdir latest Removes 5 oldest files in the current folder.,ls -t *.log | tail -$tailCount | xargs rm -f Remove adjascent duplicate lines from file 'input' comparing all but last space-separated fields,rev input | uniq -f1 | rev "Remove all ""CVS"" directories from the current directory tree, ignoring the case",find . -iname CVS -type d | xargs rm -rf "remove all the ""core"" files in the current folder which have not been changed in the last 4 days.",find . -name core -ctime +4 -exec /bin/rm -f {} \; Remove all *.bak files under current directory,find . -type f -name \*.bak -print0 | xargs -0 rm -v Remove all *.mp3 files in tmp directory but not in it's subdirectories,find tmp -maxdepth 1 -name '*.mp3' -maxdepth 1 | xargs -n1 rm Remove all *.swp files/directories under current directory,"find . -name ""*.swp""-exec rm -rf {} \;" Remove all *.swp files under current directory,"find . -name ""*.swp""|xargs rm" Remove all *.tmp files from the /tmp directory tree,"find /tmp -name ""*.tmp"" -print0 | xargs -0 rm" Remove all *bak files under current directory with confirmation prompt,find . -name '*bak' -exec rm -i {} \; Remove all *~ files under current directory with confirmation prompt,find . -name '*~' -ok rm {} \; Remove all .gz files in the current directory tree,"find . -name '*.gz' -type f -printf '""%p""\n' | xargs rm -f" Remove all .mpg files in the /home/luser directory tree,find /home/luser -type f -name '*.mpg' -exec rm -f {} \; Remove all .txt files with spaces in names in and below the current directory,"find -name ""*\ *.txt"" | xargs rm" remove all the DS_Store files in the current directory,find . -name .DS_Store -exec rm {} \; Remove all Thumbs.db files from the current directory tree,find . -name Thumbs.db -exec rm {} \; Remove all broken symbolic links in /usr/ports/packages,find -L /usr/ports/packages -type l -delete remove all the core files in the home folder,find /home -name core -exec rm {} \; remove all the core files in the temp file after user confirmation,find /tmp -name core -type f -print0 | xargs -0 /bin/rm -i Remove all CVS directories from the current directory tree,find . -name 'CVS' -type d -exec rm -rf {} \; Removes all empty folders that ends with any-cased '*.bak' under '/Users/' path.,find /Users -type d -iname '*.bak' -print0 | xargs -0 rmdir "Removes all empty folders under current path, aged between 'first' and 'last' timestamps.",find . -newer first -not -newer last -type d -print0 | xargs -0 rmdir Removes all empty folders with modification time more that 10 minutes ago from $homeDirData folder.,find $homeDirData -type d -mmin +10 -print0 | xargs -0 rmdir Removes all empty folders within $DELETEDIR folder.,"find ""$DELETEDIR"" -mindepth 1 -depth -type d -empty -exec rmdir ""{}"" \;" Remove all files/directories in the current directory without '.git' and '.gitignore',find -mindepth 1 -depth -print0 | grep -vEzZ '(\.git(/|$)|/\.gitignore$)' | xargs -0 rm -rvf Removes all files but 5 newest ones from current folder.,ls -tp | grep -v '/$' | tail -n +6 | tr '\n' '\0' | xargs -0 rm -- "Remove all files except the ones listed in ""MANIFEST""",find -type f -printf %P\\n | sort | comm -3 MANIFEST - | xargs rm "Remove all files from the current directory tree whose names end in ""~""",find -iname '*~' | xargs rm "Remove all files from the current directory tree whose names do not end with "".tex"" or "".bib""","find . | egrep -v ""\.tex|\.bib"" | xargs rm" "Remove all files from the current directory tree whose names do not match regular expression ""excluded files criteria""","find . | grep -v ""excluded files criteria"" | xargs rm" "Removes all files from current folder but 5 newest ones, filtering out directories from initial search.",ls -tp | grep -v '/$' | tail -n +6 | xargs -d '\n' rm -- "Remove all files in and below the current directory whose names begin with ""not""",find . -name not\* -print0 | xargs -0 rm remove all the files in the current folder which have not been modified in the last 10 days,find . -mtime +10 | xargs rm "Removes all files like '*.bak' in a current folder, and prints messages about what is being done.",rm -v *.bak Removes all files like 'A*.pdf' from current folder without prompting.,rm -f A*.pdf "Remove all files matching the pattern *[+{;""\\=?~()<>&*|$ ]* under current directory","find . -name '*[+{;""\\=?~()<>&*|$ ]*' -exec rm -f '{}' \;" "Remove all files named ""filename"" from the current directory tree, ignoring directory ""FOLDER1""",find . -name FOLDER1 -prune -o -name filename -delete Remove all files on the system that have been changed within the last minute,find / -newerct '1 minute ago' -print | xargs rm Remove all files that are not newer than Jul 01 by modification time,"find /file/path ! -newermt ""Jul 01"" -type f -print0 | xargs -0 rm" Remove all files under /home/user/Maildir/.SPAM/cur,find /home/user/Maildir/.SPAM/cur -type f -exec rm '{}' + Remove all files under /myfiles that were accessed more than 30 days ago,find /myfiles -atime +30 -exec rm {} \; Remove all files under current directory,find -exec rm '{}' + "Remove all files whose names end with ""~"" in the /home/peter directory tree",find /home/peter -name *~ -print0 |xargs -0 rm Remove all files with '.js' extension from the 'js' directory tree,"find ./js/ -type f -name ""*.js"" | xargs rm -f" Remove all libEGL* files from the current directory tree,find . -name libEGL* | xargs rm -f Removes all listed folders with content in sudo mode.,sudo rm -rf /usr/local/bin/npm /usr/local/share/man/man1/node* /usr/local/lib/dtrace/node.d ~/.npm ~/.node-gyp /opt/local/bin/node opt/local/include/node /opt/local/lib/node_modules remove all the pdf files in the current folder and do not delete those in the sub folders,"find . -name ""*.pdf"" -maxdepth 1 -print0 | xargs -0 rm" "remove all the permissions for others to all the files in the current folder which have read,write,execute access to users,group and others.",find * -perm 777 -exec chmod 770 {} \; "Remove all regular files from the current directory tree except textfile.txt, backup.tar.gz, script.php, database.sql, info.txt","find . -type f ! -regex "".*/\(textfile.txt\|backup.tar.gz\|script.php\|database.sql\|info.txt\)"" -delete" Remove all regular files from the current directory tree that were modified between August 10th and August 17th,"find . -type f -newermt ""Aug 10"" ! -newermt ""Aug 17"" -exec rm {} \;" "Remove all regular files from the current directory tree whose names do not end with ""ignore1"" or ""ignore2""",find . -type f -not -name '*ignore1' -not -name '*ignore2' | xargs rm "Remove all regular files named ""Waldo"" in the ~/Books directory tree",find ~/Books -type f -name Waldo -exec rm {} \; Remove all regular files under '/var/log/remote' directory tree that have not been modified in the last 14 days where day count starts from today,find /var/log/remote/ -daystart -mtime +14 -type f -exec rm {} \; "Remove all regular files with extensions php, css, ini, txt from directory tree /old/WordPress/","find /old/WordPress/ -type f -regex "".*\.\(php\|css\|ini\|txt\)"" -exec rm {} \;" Remove all spaces from standard input,tr -d ' ' "Remove all subdirectories of the current directory, except for ""bar"", ""foo"", ""a"", and ""b""","find . -maxdepth 1 -type d \( ! -name ""bar"" -a ! -name ""foo"" -a ! -name ""a"" -a ! -name ""b"" \) -delete" remove all text files from the current folder. Print0 is used to handle files whose names have only spaces or those files which have newlines in their names,"find -name ""*.txt"" -print0 | xargs -0 rm" Remove all text files in the home directory with confirmation,find $HOME/. -name *.txt -ok rm {} \; Remove all tmp/*.mp3 files,find tmp -maxdepth 1 -name '*.mp3' -maxdepth 1 | xargs -n1 rm Removes all top-level *.pdf files in a current folder.,rm -f *.pdf Remove all vmware-*.log files under current directory,"find . -name ""vmware-*.log"" -exec rm '{}' \;" "Remove all white space from ""infile.txt"" and wrap each line to 80 characters","cat infile.txt | tr -d ""[:space:]"" | fold -80" "Remove containing directories and suffix "".wiki"" from specified path, output the result.",basename /home/jsmith/base.wiki .wiki Remove directories in /media/1Tb/videos modified more than 7 days ago,find /media/1Tb/videos -maxdepth 1 -type d -mtime +7 -exec rm -rf {} \; "Remove duplicate phrases and keep the original order of lines in ""$infile""","nl -w 8 ""$infile"" | sort -k2 -u | sort -n | cut -f2" Remove each unique item listed on standard input and do nothing if empty,sort | uniq -u | xargs -r rm Removes empty folder 'edi' and 'edw'.,rmdir edi edw "Removes empty folder, and hides error message if one is not empty.",rmdir --ignore-fail-on-non-empty $newBaseDir/Data/NewDataCopy "Remove empty folder, and skip error message if one is not empty.",rmdir --ignore-fail-on-non-empty newBaseDir/Data/NewDataCopy Remove ESC key bind,bind -r '\e' Removes everything from current folder but '*ddl*' and '*docs*' files.,ls -1|grep -v -e ddl -e docs| xargs rm -rf Remove everything in a current folder prompting user on each action.,rm -ri * "Removes files 'junk1', 'junk2', 'junk3'.",rm junk1 junk2 junk3 "Remove files cart4, cart5, cart6 in directory ~/junk",find ~/junk -name 'cart[4-6]' -exec rm {} \; Remove files from the home directory tree that were last accessed more than 100 days ago,find ~ -atime +100 -delete "Remove the files from the home directory tree that were last accessed more than 100 days ago, with confirmation",find ~/ -atime +100 -exec rm -i {} ; "Remove files in the current directory tree whose names match pattern ""file?""","find . -name ""file?"" -exec rm -vf {} \;" Remove files matching pattern '*-*x*.*' from the current directory tree,find -name '*-*x*.*' | xargs rm -f "Remove files named ""core"" from the /work directory tree and write their names to /dev/stderr (the standard error","find /work \( -fprint /dev/stderr \) , \( -name 'core' -exec rm {} \; \)" "Remove the files or directories 'bin/node', 'bin/node-waf', 'include/node', 'lib/node', 'lib/pkgconfig/nodejs.pc' and 'share/man/man1/node' with superuser privilege",sudo rm -rf bin/node bin/node-waf include/node lib/node lib/pkgconfig/nodejs.pc share/man/man1/node "Remove the files or directories 'bin/node', 'bin/node-waf', 'include/node', 'lib/node', 'lib/pkgconfig/nodejs.pc' and 'share/man/man1/node.1'",rm -r bin/node bin/node-waf include/node lib/node lib/pkgconfig/nodejs.pc share/man/man1/node.1 "Remove files under /mnt/zip matching ""*prets copy"" with confirmation","find /mnt/zip -name ""*prefs copy"" -print0 | xargs -0 -p /bin/rm" Remove files whose names match regular expression '^.*/[A-Za-z]+-[0-9]+x[0-9]+\.[A-Za-z]+$' from the current directory tree,find -regex '^.*/[A-Za-z]+-[0-9]+x[0-9]+\.[A-Za-z]+$' | xargs echo rm -f Remove the file with inode number 752010,find -inum 752010 -exec rm {} \; Removes first and last parts of path $path and saves the result in 'finalName' variable.,"finalName=$(basename -- ""$(dirname -- ""$path"")"")" Removes first and last parts of path 'test/90_2a5/Windows' and prints the result.,echo 'test/90_2a5/Windows' | xargs dirname | xargs basename "Remove from the current directory tree all the regular files which have a dot in their names and contain string ""-x. syntax""","find . -name ""*.*"" -type f -exec grep -l '-x. syntax' {} \; | xargs rm -f" Remove gitlab.site.org from root's known hosts file.,"ssh-keygen -f ""/root/.ssh/known_hosts"" -R gitlab.site.org" Remove the last two components (directories) of $path,echo $path | rev | cut -d'/' -f4- | rev Removes the last 2 lines from a file,head -n -2 myfile.txt Remove the last 2 tab-separated fields of each line in file pointed to by filename,cat $filename | rev | cut -c 3- | rev "Remove last two underscore-delimited fields and following characters in ""t1_t2_t3_tn1_tn2.sh"" keeping only ""t1_t2_t3""",echo t1_t2_t3_tn1_tn2.sh | rev | cut -d_ -f3- | rev removes last N lines from file.txt,head --lines=-N file.txt "Remove lines matching ""kpt#"" from ""data.txt"" and add left-justified line numbers",grep -v 'kpt#' data.txt | nl -nln Remove the path $1 from the PATH environment variable,"PATH=$(echo $PATH | tr "":"" ""\n"" | grep -v $1 | tr ""\n"" "":"")" "Removes resursively all files and folders named "".DS_Store"".","find . -name "".DS_Store"" -print0 | xargs -0 rm -rf" "Removes resursively all files and folders named ""Thumbs.db"", ignoring case distincts.","find . -iname ""Thumbs.db"" -print0 | xargs -0 rm -rf" Remove recursively Emacs backup files in the current directory,find . -name '*~' -print0 | xargs -0 rm "Remove the regular files from the current directory that were last modified on November, 22","find -maxdepth 1 -type f -newermt ""Nov 22"" \! -newermt ""Nov 23"" -delete" "Remove the regular files from the current directory tree that were last modified on November, 21","find -type f -newermt ""Nov 21"" ! -newermt ""Nov 22"" -delete" Remove regular files whose names match Perl regular expression '\w+-\d+x\d+\.\w+$' from the current directory tree,find -type f | grep -P '\w+-\d+x\d+\.\w+$' | xargs rm Remove sess_* files that were modified more than 2 days ago,find sess_* -mtime +2 -exec rm {} \; "Remove spaces from output of ""echo aa | wc -l""",echo aa | wc -l | tr -d ' ' "Remove symbolic links and get absolute path of ""${the_stuff_you_test}"" and save to variable ""DIR_PATH""","DIR_PATH=`readlink -f ""${the_stuff_you_test}""`" "Rename ""/usr/bin/php"" to ""/usr/bin/~php""",sudo mv /usr/bin/php /usr/bin/~php "Rename ""Tux.png"" to "".Tux.png""",mv Tux.png .Tux.png "Rename ""blah1"" to ""blah1-new""",mv blah1 blah1-new "Rename ""blah2"" to ""blah2-new""",mv blah2 blah2-new "Rename ""fghfilea"" to ""jklfilea""",mv fghfilea jklfilea "Rename ""file0001.txt"" to ""1.txt""",mv file0001.txt 1.txt "Rename ""new"" to ""old"" and backup to ""old.old"" if ""old"" exists",mv new old -b -S .old "Rename ""new"" to ""old"" and make a backup if ""old"" exists",mv new old -b "Rename ""original.filename"" to ""new.original.filename""",mv original.filename new.original.filename "Rename ""svnlog.py"" to ""svnlog""",mv svnlog.py svnlog "Rename ""www_new"" to ""www"" even if ""www"" directory exists",mv -T www_new www "Rename $file file, preserving only part of name before '-' symbol, and appending '.pkg' suffix to the end",mv $file $(echo $file | rev | cut -f2- -d- | rev).pkg Rename '.mkv' extension to '.avi' for all files/directories under '/volume1/uploads' directory tree,"find /volume1/uploads -name ""*.mkv"" -exec rename 's/\.mkv$/.avi/' \{\} \;" "Rename the *.so files at level 2 of the current directory tree prepending their names with ""lib""","find . -mindepth 2 -maxdepth 2 -name ""*.so"" -printf ""mv '%h/%f' '%h/lib%f'\n"" | sh" "Rename the *.so files in the current directory tree prepending their names with ""lib""","find . -name ""*.so"" -printf ""mv '%h/%f' '%h/lib%f'\n"" | bash" "Rename absolute path of symbolic link ""dirln"" to ""dir2""","mv ""$(readlink -f dirln)"" dir2" "renames all "".htm"" files to "".html"" files","find . -name ""*.htm"" -exec mv '{}' '{}l' \;" "Rename all ""thumbs"" directories to ""thumb"" in the current directory tree","find . -type d -exec rename 's/^thumbs$/thumb/' {} "";""" Rename all *.txt regular files in the current directory tree to *.abc,find . -type f -iname '*.txt' -print0 | xargs -0 rename .txt .abc Rename all .jpg files to .jpeg under the current directory and below,find | rename 's/\.jpg$/.jpeg/' "Rename all .png files, changing the string ""_h.png"" into ""_half.png"".",rename 's/_h.png/_half.png/' *.png Rename all files in current directory to lowerase.,rename 'y/A-Z/a-z/' * "Rename all files in current directory to lowerase, overwriting any existing files.",rename -f 'y/A-Z/a-z/' * "Rename all files in current directory whose name starts with 'F0000', trimming a zero from any sequence of four zeroes in the name.",rename s/0000/000/ F0000* "Rename all files in current directory with names starting with ""fgh"" so they start with ""jkl"" instead",rename 's/^fgh/jkl/' fgh* "Rename all files matching ""access.log..gz"" incrementing .",find -name 'access.log.*.gz' | sort -Vr | rename 's/(\d+)/$1+1/ge' Rename all regular files under current directory tree with inode number 31467125 to 'new_name.html',find . -type f -inum 31467125 -exec mv {} new_name.html \; rename all the text files in the current folder to html files,"find -name ""*.txt"" -exec mv {} `basename {} .htm`.html \;" "Rename file ""edited_blah.tmp"" to ""/etc/blah""",sudo mv edited_blah.tmp /etc/blah Rename file file.txt.123456 to file.txt,mv file.txt.123456 $(ls file.txt.123456 | rev | cut -c8- | rev) Rename file with inode number 31467125 to new_name.html,find . -type f -inum 31467125 -exec /bin/mv {} new_name.html \; Rename file ~/junk/cart1 to ~/junk/A,find ~/junk -name 'cart1' -exec mv {} ~/junk/A \; "Rename recursively all files in the current directory tree that are called ""article.xml"" to ""001_article.xml""","find . -name ""article.xml"" -exec rename 's/article/001_article/;' '{}' \;" Rename uppercase file or folder name $1 to lower case name,mv $1 `echo $1 | tr '[:upper:]' '[:lower:]'` Replace all ' ' with '-' from standard input,tr ' ' '-' "Replace all colons (':') with newlines in $list and search for the first match to the regex ""^$removepat\$"" where $removepat is a variable and save the result to variable 'removestr'","removestr=$(echo ""$list"" | tr "":"" ""\n"" | grep -m 1 ""^$removepat\$"")" Replace all non-punctuation characters with newlines from standard input,tr -sc '[:punct:]' '\n' "Replace all spaces (' ') with comma (',') in ${TO_IGNORE[@]}, append with '--ignore ' and save the resultant string to variable 'ARGS'","ARGS=""--ignore `echo ${TO_IGNORE[@]} | tr ' ' ','`""" Replace all spaces with underscores in directory names under current directory.,"find /tmp/ -depth -name ""* *"" -execdir rename 's/ /_/g' ""{}"" \;" Replace any blank character from standard input with a tab,tr '[:blank:]' \\t Replaces any occurences of '*favicon.ico*' in any subfolder with file '/root/favicon.ico'.,find . | grep favicon\.ico | xargs -n 1 cp -f /root/favicon.ico Replace any sequence of spaces in file 'text.txt' with single space and print 4th space separated field,cat text.txt | tr -s ' ' | cut -d ' ' -f 4 "Replace commas (',') with newlines in $MOUNT_OPTS and search for the regex '^acl$'","echo $MOUNT_OPTS | tr , \\\n | grep '^acl$' -q" "Replace each new line in ""INPUT.txt"" with "":""",paste -sd: INPUT.txt "Replace each newline in input ""1\n2\n3\n4\n5"" with a comma","echo ""1\n2\n3\n4\n5"" | paste -s -d, /dev/stdin" "Replace newline with ""_"" in ""file"" then search for ""_foo_"" and output with ""_"" characters deleted","grep -o ""_foo_"" <(paste -sd_ file) | tr -d '_'" Report available space on the file system containing /tmp in kilobytes.,df -k /tmp | tail -1 | tr -s ' ' | cut -d' ' -f4 "Reports count of characters in the value of ${FOO_NO_EXTERNAL_SPACE} variable as follows: ""length(FOO_NO_EXTERNAL_SPACE)==""","echo -e ""length(FOO_NO_EXTERNAL_SPACE)==$(echo -ne ""${FOO_NO_EXTERNAL_SPACE}"" | wc -m)""" "Reports count of characters in the value of ${FOO_NO_TRAIL_SPACE} variable as follows: ""length(FOO_NO_TRAIL_SPACE)==""","echo -e ""length(FOO_NO_TRAIL_SPACE)==$(echo -ne ""${FOO_NO_TRAIL_SPACE}"" | wc -m)""" "Reports count of characters in the value of ${FOO_NO_WHITESPACE} variable as follows: ""length(FOO_NO_WHITESPACE)==""","echo -e ""length(FOO_NO_WHITESPACE)==$(echo -ne ""${FOO_NO_WHITESPACE}"" | wc -m)""" Reports count of processors in system.,"grep ""^core id"" /proc/cpuinfo | sort -u | wc -l" Report file system containing /example disk usage in kilobytes.,df -k /example Report file system containing /tmp disk usage in kilobytes.,df -k /tmp Report file system containing path to /dir/inner_dir/ disk usage human-readable.,df -h /dir/inner_dir/ Report file system containing path to /dir/inner_dir/ disk usage in kilobytes.,df -k /dir/inner_dir/ Report file system containing path to /some/dir disk usage in kilobytes.,df -k /some/dir Report file system containing path to the current working directory disk usage human-readable.,df -h . Report file system containing path to the current working directory inodes usage.,df -i $PWD Report file system disk space usage in human readable format,df -h Report file systems disk usage human-readable using POSIX output format.,df -Ph Report file systems disk usage in 1GB blocks.,df -BG Report file systems disk usage in kilobytes.,df -k Report file systems disk usage using POSIX output format.,df -P Report file systems inode usage.,df -i Report file system inodes usage in human readable format,df -ih Report total file systems disk usage.,df --total | tail -n 1 Represent current date in RFC 3339 format with precision to seconds and save it to 'timestamp' variable,timestamp=`date --rfc-3339=seconds` Represent the current time as seconds since epoch and save it to variable 'TODAY',"TODAY=$(date -d ""$(date +%F)"" +%s)" Represent time string $MOD_DATE as seconds since epoch and save to variable 'MOD_DATE1',"MOD_DATE1=$(date -d ""$MOD_DATE"" +%s)" "Represent the UTC date given in time string ""1970.01.01-$string1"" as number of seconds since the epoch and save it in 't1' variable","t1=$(date -u -d ""1970.01.01-$string1"" +""%s"")" "Request A record from nameserver $ns for domain name $d, filter strings with domain name and exclude lines matching 'DIG'","dig @$ns $d A | grep $d | grep -v ""DiG""" "Request changing the passphrase of key file ""private.key""",ssh-keygen -pf private.key Request IP address for each domain name received on the command input,dig +short -f - | uniq Request IP address of 'myip.opendns.com' from name server 'resolver1.opendns.com',dig +short myip.opendns.com @resolver1.opendns.com "Request MX record of 'example.com' domain, and filter out all comment strings",dig mx example.com | grep -v '^;' | grep example.com "Request NS record for com. domain, receiving only authoritative answers",dig NS +aaonly com. "Request that the master ssh connection ""officefirewall"" exits",ssh -O exit officefirewall "Request that the master ssh connection ""otherHosttunnel"" exits",ssh -O exit otherHosttunnel "Resolve all symlinks in path to ""firefox"" binary if it exists in path, resulting in absolute path with no symlinks.",readlink -f $(which firefox) "Resolve any symlinks in working directory, and go to resulting pathname.","cd ""`pwd -P`""" "Resolve symbolic link of file ""/foo/bar/baz""",readlink -e /foo/bar/baz "Resolve symbolic link of file ""FILE"" even if the file does not exist",readlink -m FILE "Resolve symbolic link of path of ""python2.7""",readlink $(which python2.7) "Return 0 if at least one ""abc"" file in the current directory tree contains text ""xyz""",find . -name 'abc' -type f -exec grep -q xyz {} + returns a list of files modification newer than poop,find . -mnewer poop Return the depth of the current directory tree,find . -type d -printf '%d:%p\n' | sort -n | tail -1 Return the files that are newer than file `myfile',find / -newer myfile returns the first 100 bytes in the file,head -c 100 file Returns the single most recent file in a directory,ls -t | head -n1 "Reverse the order of lines in ""myfile.txt"" using BSD ""tail"" command",tail -r myfile.txt "Reversibly sorts content of the '${TMP}/${SCRIPT_NAME}.kb' file, comparing human readable numbers in file strings.",cat ${TMP}/${SCRIPT_NAME}.kb|sort -rh; Reversibly sorts content of the '${TMP}/${SCRIPT_NAME}.name' file,cat ${TMP}/${SCRIPT_NAME}.name|sort -r; "Reversibly sorts content of the '${TMP}/${SCRIPT_NAME}.pid' file, comparing human readable numbers in file strings.",cat ${TMP}/${SCRIPT_NAME}.pid|sort -rh; "Reverse the space separated words in ""35 53 102 342""",echo 35 53 102 342|tr ' ' '\n'|tac|tr '\n' ' ' Reverse the text in $input by taking each 4 characters as each units and save the result in variable 'output',output=$(echo $input | fold -w4 | tac | tr -d \\n) Revert $string value and print first 20 space-separated fields,echo $string | rev | cut -d ' ' -f -20 "Run ""./configure"" with a new environment variable CC set to the full path of the command 'cc'",CC=$(which cc) ./configure "Run ""./configure"" with a new environment variable CC set to the full path of the command 'gcc'",CC=$(which gcc) ./configure "Run ""command"" on server ""host"" as user ""user""","echo ""command"" | ssh user@host" Run 'chmod 0644' on all files in the current directory tree,find . -type f -exec chmod 0644 {} \; Run 'chmod 0755' on all directories in the current directory tree,find . -type d -exec chmod 0755 {} \; Runs `file' on every file in or below the current directory.,find . -type f -exec file '{}' \; Run 'make -e' with an environment variable 'HOSTNAME' set to the system hostname,HOSTNAME=$(hostname) make -e Run 'somecommand' in an environment without the FOO variable.,env -u FOO somecommand "Run 'top' in batch mode (don't accept user input) with delay of 1 second between updates, and duplicate the standard output to file 'output.log' in current directory.",top -b -d 1 | grep myprocess.exe | tee output.log Run .makeall.sh in an empty environment.,env -i ./makeall.sh "Run commands ""df -k;uname -a"" on server ""192.168.79.134""","echo ""df -k;uname -a"" | ssh 192.168.79.134" Run command 'su whoami' on host 'remotehost',"echo ""su whoami"" |ssh remotehost" "Run command specified by $line, replace space (' ') with newline and save the output to variable 'arr'","arr=$( $line | tr "" "" ""\n"")" Run the find command with all shell positional arguments,"`which find` ""$@"" -print0;" run ksh shell as user apache,su apache -s /bin/ksh Run perl -V (displays informations about perl's setup) in an empty environment.,env -i perl -V "Run rsync with options specified by variable OPTS, copying directory(ies) specified by variable FIND, and to destination specified by variable BACKUPDIR.",rsync $OPTS $FIND $BACKUPDIR "same as above example with -exec , in this example with -OK it should ask for confirmation before executing the rm command . that is called user intractive command",find . -name core -ok rm {} \; "Save ""something"" into variable ""param"" in ksh",echo something | read param Save $line line in history,"history -s ""$line""" "Save 'echo whatever you ""want your"" command to be' in history","history -s 'echo whatever you ""want your"" command to be'" Save 'foo' into variable 'bar' in ksh,echo foo | read bar Save a comma separated list of all $MY_DIRECTORY/*/ directories to variable 'FOLDER',FOLDERS=`ls -dm $MY_DIRECTORY/*/ | tr -d ' '` Save a comma separated list of all directories under current directory tree to variable 'FOLDER',"FOLDERS=$(find . -type d -print0 | tr '\0' ',')" Save a list of all 755 permission files/directories under $dir directory tree to the variable 'files',"files=""$(find $dir -perm 755)""" Save a list of all the files/directories under current directory tree to a file named 'foo',find . -fprint foo "Save a unique list of the currently logged in usernames to variable ""line""",line=$(who | cut -d' ' -f1 | sort -u) "Save absolute path of ""$path"" that must exist along with all parents to variable ""abspath""",abspath=$(readlink -e $path) "Save absolute path of ""$path"" that may not exist to variable ""abspath""",abspath=$(readlink -m $path) "Save the absolute path of ""$path"" to variable ""full_path""",full_path=`readlink -fn -- $path` "Save the absolute path of ""$path"" to variable ""fullpath""","fullpath=`readlink -f ""$path""`" "Save absolute path of ""/home/nohsib/dvc/../bop"" in variable ""absolute_path""",absolute_path=$(readlink -m /home/nohsib/dvc/../bop) "Save the absolute path of the current script to variable ""SELF""",SELF=$(readlink /proc/$$/fd/255) "Save actual working directory in variable ""target_PWD""",target_PWD=$(readlink -f .) "Save all directories under the current directory as a comma separated list in variable ""FOLDERS""","FOLDERS=$(find $PWD -type d | paste -d, -s)" Save all entries that are wrapped around with opening and closing square brackets in file 'FILENAME' to variable 'var',var=`egrep -o '\[.*\]' FILENAME | tr -d ][` Saves bytes count of the value of '$each' variable.,a=$(echo $each | wc -c) Saves byte size of $myvar variable value in the 'var2' variable.,var2=$(echo $myvar | wc -c) "Save the canonical filename of ""$BASH_SOURCE"" in variable ""me""",me=$(readlink --canonicalize --no-newline $BASH_SOURCE) "Save the canonical path of ""$dir/$file"" in variable ""path""","path=`readlink --canonicalize ""$dir/$file""`" Save count of lines from file $file matching with pattern $filter and not matching with pattern $nfilter in variable 'totalLineCnt',"totalLineCnt=$(cat ""$file"" | grep ""$filter"" | grep -v ""$nfilter"" | wc -l | grep -o '^[0-9]\+');" Save the current date to 'DATE' variable,DATE=$(echo `date`) "Save the current time formatted according to the format string ""%Y-%m-%d %H:%M:%S"" to the variable 'CDATE'","CDATE=$(date ""+%Y-%m-%d %H:%M:%S"")" "Save the current user name in variable ""myvariable""",myvariable=$(whoami) "Save the current working directory and the directory name of the current script to variable ""DIR""",DIR=`pwd`/`dirname $0` "Save the current working directory to variable ""CURRENT""",CURRENT=`pwd` "Save the current working directory with resolved symbolic links to variable ""real1""",real1=$(pwd -P) Save the date 222 days before today to the variable 'date_222days_before_TodayDay',"date_222days_before_TodayDay=$(date --date=""222 days ago"" +""%d"")" "Save the day of the year from the time string ""20131220"" to variable 'DATECOMING'","DATECOMING=$(echo `date -d ""20131220"" +%j`)" "Save the directory of the full path to the current script in variable ""dir""",dir=$(dirname $(readlink -m $BASH_SOURCE)) Saves file sctipt.sh size in 'size' variable.,size=`cat script.sh | wc -c` "Save the first ""."" separated field of the system host name to variable ""HOSTZ""",HOSTZ=$( hostname | cut -d. -f1 ) "Save the first two letters of the system host name to variable ""DC""","DC=`hostname | cut -b1,2`" "Save the first three octets of the host name's IP address to variable ""subnet""","subnet=$(hostname -i | cut -d. -f1,2,3)" "Save the first line of ""$j"" into variable ""k"" in ksh",echo $j | read k Save first one of space separated parts of each line in $LOCKFILE file to the 'CURRENT_PID_FROM_LOCKFILE' variable,"CURRENT_PID_FROM_LOCKFILE=`cat $LOCKFILE | cut -f 1 -d "" ""`" "Save the first word of the first difference in "".dir_list_2"" compared to "".dir_list_1"" into variable ""extract_dir""",extract_dir=$(diff .dir_list_1 .dir_list_2 | grep '>' | head -1 | cut -d' ' -f2) Saves folder path where target of symbolic link $file file is located in 'base' variable.,base=$(dirname $(readlink $file)) "Save the FQDN host name of the system in variable ""fhost""",fhost=`hostname -f` "Save the FQDN host name of the system in variable ""hnd""",hnd=$(hostname -f) "Save full path of command ""mktemp"" to variable ""MKTEMP""",MKTEMP=`which mktemp` "Save the full path of command ""oracle"" to variable ""path""",path=`which oracle` "Save full path of command ""rm"" to variable ""RM""",RM=`which rm` "Save full path of command ""tr"" to variable ""TR""",TR=`which tr` "Save the full path of command ""~/f"" to variable ""foo""",foo=`which ~/f` "Save host name in variable ""thisHOSTNAME""",thisHOSTNAME=`hostname` Save in QUEUE_PIDS variable only pid numbers that stored in $NEW_PIDS variable,"QUEUE_PIDS=$(comm -23 <(echo ""$NEW_PIDS"" | sort -u) <(echo ""$LIMITED_PIDS"" | sort -u) | grep -v '^$')" Saves index number of file 'script.sh' in the 'inode' variable.,"inode=`ls -i ./script.sh | cut -d"" "" -f1`" "Saves invoked command 'check_script_call=$(history |tail -1|grep myscript.sh )' in variable 'check_script_call', preceeding by its number in history.",check_script_call=$(history |tail -1|grep myscript.sh ) "Save the latest modification time (in format ""%T@ %t"" of any file under ""./$dir"" to variable ""timestamp""","timestamp=$(find ./$dir -type f -printf ""%T@ %t\\n"" | sort -nr -k 1,2 | head -n 1)" Saves listing of a current folder in 'OUTPUT' variable.,"OUTPUT=""$(ls -1)""" "Save list of groups which user $line belongs to and not matching pattern ""_unknown|sciences|everyone|netaccounts"" in 'results' variable","results=$(groups ""$line"" | tr ' ' '\n' | egrep -v ""_unknown|sciences|everyone|netaccounts"")" Saves list of logged in users in system together with 'USER' header in the 'a' variable.,a=`w|cut -d' ' -f1`; Saves location of file $1 in 'dir' variable.,"dir=$(dirname -- ""$1"")" Saves location of file $1 in 'dir_context' variable.,"dir_context=$(dirname -- ""$1"")" "Save the logical current working directory to variable ""basedir""",basedir=$(pwd -L) Save long listing of all files listed in file 'filenames.txt' to 'listing' variable,listing=$(ls -l $(cat filenames.txt)) "Save long listing of all running processes in the 'log' file, and save number of process strings that contain 'cntps' in the 'cnt' variable.","cnt=`ps -ef| tee log | grep ""cntps""|grep -v ""grep"" | wc -l`" Save the md5 sum of $twofish to variable 'twofish',"twofish=`echo -n $twofish | md5sum | tr -d "" -""`" "Save the network node hostname append with '-', the current date and '.txt' into variable 'filename'","filename=""$(uname -n)-$(date +%F).txt""" Save number of lines in '/some/big/file' to 'LINES' variable,LINES=$(cat /some/big/file | wc -l) Save number of lines in 'file.txt' file in 'nbLines' variable,nbLines=$(cat -n file.txt | tail -n 1 | cut -f1 | xargs) Save number of lines with any-cased 'merge' from $COMMIT_EDITMSG file in 'MERGE' variable,MERGE=$(cat $COMMIT_EDITMSG|grep -i 'merge'|wc -l) "Save the number of matching executables for ""$cmd"" in $PATH to variable ""candidates""",candidates=$(which -a $cmd | wc -l) Save number of processors in system to 'NP' variable,NP=`cat /proc/cpuinfo | grep processor | wc -l` Save number of strings with $expression pattern in 'foo.txt' to 'big_lines' variable.,"big_lines=`cat foo.txt | grep -c ""$expression""`" "Save the numerically greater value of ""$kf"" and ""$mp"" into variable ""gv""",gv=$(echo -e $kf'\n'$mp | sort -t'.' -g | tail -n 1) "Save only the digits in ""$filename"" to variable ""number""",number=$(echo $filename | tr -cd '[[:digit:]]') "Save the percentage of packets lost of the 5 packets sent to ""$host"" in variable ""packet_loss""",packet_loss=$(ping -c 5 -q $host | grep -oP '\d+(?=% packet loss)') "Save the physical current working directory to variable ""END_ABS""",END_ABS=`pwd -P` Saves real path of the folder containing the current script,"DIR=$(dirname ""$(readlink -f \""$0\"")"")" Save the short DNS lookup output of $WORKSTATION to 'WORKSTATION_IP' variable,WORKSTATION_IP=`dig +short $WORKSTATION` "Save the short host name appended with "".mysqldb"" in variable ""DBPREFIX""","DBPREFIX=""$(hostname -s).mysqldb""" "Save the short system host name to variable ""hostname""",hostname=`hostname -s` Save small letter short day name of the week to variable 'DayOfWeek',DayOfWeek=`date +%a |tr A-Z a-z` Saves space separated content of $RAW_LOG_DIR in FILES variable,FILES=`cat $RAW_LOG_DIR | xargs -r` Save standard input to variable 'stdin' until the first character encoded as '\004' is read,"read -d ""$(echo -e '\004')"" stdin" "Save the system host name in variable ""HOSTNAME""","HOSTNAME=""`hostname`""" "Save the system host name into variable ""HOST""",HOST=$(hostname) "Save the system host name to variable ""myHostName""",myHostName=`hostname` Save system information appended with the current date in 'filename' variable,"filename=""$(uname -a)$(date)""" "Save the user name in upper case of the current user in variable ""v""",v=$(whoami | tr 'a-z' 'A-Z') "Save the user name of the current user to variable ""me""","me=""$(whoami)""" "Save the user name of the current user to variable ""whoami""",whoami=$(whoami) "Save the user name of the current user to variable ""x""",x=$(whoami) Save the UTC date represented by time string $sting2 as the seconds since epoch to variable 'FinalDate',"FinalDate=$(date -u -d ""$string2"" +""%s"")" "Search ""file1"" for lines matching regex patterns listed in ""file2"" and list the unique results (sorted alphabetically)",grep -f file2 file1 | sort -u "Search ""input.txt"" for regex patterns only matching those listed in ""ignore.txt"", list the unique lines and prefix with the number of occurrences",grep -of ignore.txt input.txt | sort | uniq -c "Search ""inputfile"" for lines starting with ""t:"" and group the results in files with at most 200 lines each","cat inputfile | grep ""^t\:"" | split -l 200" "Search the ""katalogi"" directory tree for files named ""wzorzec""",find katalogi -name wzorzec "Search ""mygzfile.gz"" for ""string to be searched""","gunzip -c mygzfile.gz | grep ""string to be searched""" "Search the ""test1"" directory recursively for regular files",find test1 -type f -print "Search ""whatyousearchfor"" in history and print 3 lines before and 4 lines after",history | grep -A 4 -B 3 whatyousearchfor "Search $MYGROUP in /etc/group, take the 4th colon (':') separated field, replace comma (',') with newline and save the result to variable 'MYUSERS'","MYUSERS=`grep $MYGROUP /etc/group | cut -d "":"" -f4| tr "","" ""\n""`" Search the `research' directory and one level below for directories that are not owned by group `ian',find -L research -maxdepth 2 -type d ! -group ian Search the 'tmp' directory for .mp3 files,find tmp -maxdepth 1 -name '*.mp3' "Search the *.c files residing in the current directory tree for string ""blash""",find . -name *.c -exec grep -n -e blash {} \; "Search the *.cc files in the current directory tree for string ""xxx""","find . -name ""*.cc"" -print -exec grep ""xxx"" {} \;" Search the *.code files from the current directory tree for string 'pattern',find . -name '*.code' -exec grep -H 'pattern' {} + "Search the *.txt files from the current directory tree for ""string""","find . -name ""*.txt"" -print0 | xargs -0 egrep 'string'" "Search *.txt files under and below /directory/containing/files for ""pattern_to_search""","find /directory/containing/files -type f -name ""*.txt"" -exec grep -H 'pattern_to_search' {} +" "Search *.x files from the current directory tree for string ""fred""",find . -name ‘*.x’ -print0 | xargs -0 grep fred "Search the ./bin directory recursively for files called ""cp""",find ./bin -name “cp” "Search the .VER files from the current directory tree for Perl regular expression ""Model-Manufacturer:.\n.""","find . -name ""*.VER"" -exec grep -P 'Model-Manufacturer:.\n.' '{}' ';' -print" "Search the .VER files from the current directory tree for string ""Test_Version='","find . -name ""*.VER"" -exec grep 'Test_Version=' '{}' ';' -print;" "Search .c and .h files in the current directory tree for ""expr""",find . -name '*.[ch]' | xargs grep -E 'expr' "Search the .c files residing in the Lib/ directory tree for lines beginning with ""PyErr""",find Lib/ -name '*.c' -print0 | xargs -0 grep ^PyErr Search the .java files from the /Applications/ directory tree for TODO lines,"find /Applications/ -name ""*.java"" -exec grep -i TODO {} +" "Search the .log files in the current directory tree for string ""The SAS System""","find `pwd` -name ""*.log"" -exec grep ""The SAS System"" {} \;" "Search the .py files residing in the current directory tree for ""something""","find . -name ""*.py"" -type f -exec grep ""something"" {} \;" "Search the .sh files in the current directory tree for string ""ksh""","find . -name ""*.sh"" | xargs grep ""ksh""" Search the /Applications directory tree for *.app directories,"find /Applications -type d -name ""*.app""" "Search the /Path directory tree for files matching pattern ""file_name*""","find /Path -name ""file_name*""" "Search the /Path directory tree for files whose pathnames match pattern ""/Path/bar*"" and whose names match pattern ""file_name*""","find /Path -path ""/Path/bar*"" -name ""file_name*""" "Search the /Path/bar* directories recursively for files matching pattern ""file_name*""","find /Path/bar* -name ""file_name*""" Search the /dir directory tree for files whose names match regular expression '.*2015.*\(album.*\|picture.*\)',find /dir -regex '.*2015.*\(album.*\|picture.*\)' Search the /dir directory tree for files whose names match regular expression '2015.*(album|picture)',find /dir|egrep '2015.*(album|picture)' Search the /etc directory tree for files accessed within the last 24 hours,find /etc -atime -1 Search /etc for files modified within the last 10 minutes,find /etc -type f -mmin -10 "Search the /home/sdt5z/tmp directory tree for files named ""accepted_hits.bam""","find /home/sdt5z/tmp -name ""accepted_hits.bam""" Search the /home/test directory tree for directories and files called '.ssh',find /home/test -name '.ssh' "Search the /home/user1 directory tree for files whose names end in "".bin""","find /home/user1 -name ""*.bin""" Search the /home/weedly directory tree for regular files named myfile,find /home/weedly -name myfile -type f -print Search the /home/www directory tree for regular files,find /home/www -type f Search the /media/shared directory recursively for MP3 and OGG files,"find /media/shared \( -iname ""*.mp3"" -o -iname ""*.ogg"" \)" Search the /mnt/raid/upload directory tree for files that have been modified within the last 7 days,find /mnt/raid/upload -mtime -7 -print Search the /path directory recursively for TXT files,"find /path -type f -iname ""*.txt""" Search the /path directory tree for files having permissions 777,find /path -perm ugo+rwx Search the /path directory tree for files missing g+w or o+w bits,find /path ! -perm -022 Search the /path directory tree for regular files,find /path -type f Search the /path/to/dir directory tree for .c files,find /path/to/dir -name \*.c Search /path/to/your/directory for *.avi and *.flv files,find /path/to/your/directory -regex '.*\.\(avi\|flv\)' "Search /public/html/cosi for files whose name is ""wiki.phtml""","find /public/html/cosi -name ""wiki.phtml""" "Search the /root directory recursively for files named ""FindCommandExamples.txt""",find /root -name FindCommandExamples.txt "Search the /root directory recursively for the regular file named ""myfile""",find /root/ -name myfile -type f "Search the /root directory recursively for the regular file named ""myfile"" ignoring ""work"" directories",find /root/ -name 'work' -prune -o -name myfile -type f -print "Search the /root directory recursively for the regular file named ""myfile"" ignoring /root/work/",find /root/ -path '/root/work' -prune -o -name myfile -type f -print Search the /storage/sdcard0/tencent/MicroMsg/ directory tree for JPG files,find /storage/sdcard0/tencent/MicroMsg/ -type f -iname '*.jpg' -print0 "Search the /tmp/ directory recursively for files matching regular expression "".*file[0-9]+$""","find /tmp -regex "".*file[0-9]+$""" Search the /tmp/ directory recursively for regular files,find /tmp -type f Search the /usr/ directory tree for files newer than file /tmp/stamp,find /usr -newer /tmp/stamp Search the /usr/bin directory tree for regular files accessed more than 100 days ago,find /usr/bin -type f -atime +100 Search /usr/local for subdirectories whose names end with a number 0-9,find /usr/local -maxdepth 1 -type d -name '*[0-9]' Search /var for files matching regular expression '.*/tmp/.*[0-9]*.file',find /var -regex '.*/tmp/.*[0-9]*.file' Search the /var/www/ tree for files owned by root or unknown group and change their group to 'apache',find /var/www -group root -o -nogroup -print0 | xargs -0 chown :apache "Search three folders named foo, bar, and baz for all ""*.rb"" files","find foo bar baz -name ""*.rb""" search a url in all regular/normal files in a folder.,find ./ -type f -exec grep https://www.ksknet.net {} \; "search all the "".sh"" files in the /usr folder and follow the symbolic links to their original file",find /usr -follow -name '*.sh' "Search all *.c files from the current directory tree for ""hogehoge""",find . -name \*.c -exec grep hogehoge {} \; "Search all the *.pl files in the current directory and subdirectories, and print the names of any that don't have a line starting with 'use strict'",find . -name '*.pl' | xargs grep -L '^use strict' "Search all *.txt files under ~/documents for the word ""DOGS""",find ~/documents -type f -name '*.txt' -exec grep -s DOGS {} \; -print "Search all .c files from the current directory tree for ""keyword"", ignoring the case","find . -name ""*.c"" -exec grep -i ""keyword"" {} "";""" "Search all .py files in the current directory tree for ""some_function""",find . -name \*.py | xargs grep some_function search all block spacial files called backup from /usr directory downwards and print them .,find /usr -type b -name backup -print "Search all files and directories either of the directory /home/oracle and /home/databse which contain the ""zip"" anywhere in the files or directory name .",find /home/oracle /home/database -name '*zip*' "Search all files called ""abc"" that reside in the current directory tree for string ""xyz""","find . -name ""abc"" -exec grep ""xyz"" {} \;" "Search all files from the /tmp directory tree for the string ""search string""",find /tmp -type f -exec grep 'search string' '{}' /dev/null \+ "Search all files in the current directory tree, except *.html and *.svn*, for ""SearchString""",find . ! -name '*.html' ! -name '*.svn*' -exec grep 'SearchString' {} /dev/null \; "Search all files in the current directory tree, except GIT files, for ""string-to-search""","find . -name .git -prune -o -print | xargs grep ""string-to-search""" "Search all files in the current directory tree for ""SearchString"", ignoring .html files and skipping .svn directories",find . \( -name '*.svn*' -prune -o ! -name '*.html' \) | xargs -d '\n' grep -Hd skip 'SearchString' "Search all files in the current directory tree that are named ""whatever"" for ""you_search_for_it""",find -name whatever -exec grep --with-filename you_search_for_it {} \; "Search all files in the current directory tree whose names end in ""1"" for string ""1""","find . -name ""*1"" -exec grep ""1"" {} +" search all the files in the current folder and assign them to a variable,files=`find .` search all the files in the current folder excluding those that are present in the folder test and using regex,"find . -name test -prune -regex "".*/my.*p.$""" search all the files in the current folder using regex,"find . -regex "".*/my.*p.$""" search all the files in the current folder using regex excluding those that are present in the folder test,"find . -name test -prune -o -regex "".*/my.*p.$""" search all jpg files in current folder,"find . -type f -name ""*.jpg""" search all the lines that start with the word malloc in the files ending with .c or .h or .ch,grep ^malloc `find src/ -name '*.[ch]'` "search all mp3 files in the folder ""/home/you"" which have been modified yesterday (from the start of day 00:00 to 23:59)","find /home/you -iname ""*.mp3"" -daystart -type f -mtime 1" "Search all of /usr for any directory named 'My Files', for each directory found, copy it to /iscsi preserving full paths and attributes.",find /usr -type d -name My\ Files -exec rsync -avR '{}' /iscsi \; "Search all of /usr for any directory named 'My Files', for each directory found, copy it to /iscsi preserving full paths and attributes, then remove it.",find /usr -type d -name 'My Files' -exec rsync -avR '{}' /iscsi \; -exec rm -rf '{}'\; "Search all Python files in the current directory tree for string ""import antigravity""","find . -name ""*.py"" | xargs grep 'import antigravity'" "Search all the regular files from the current directory tree for ""search string""","find . -type f -print -exec grep --color=auto --no-messages -nH ""search string"" ""{}"" \;" "Search all regular files in the current directory tree for ""example""",find -type f -print0 | xargs -r0 grep -F 'example' "Search all regular files in the current directory tree for ""string""",find . -type f -exec grep string {} \; search all undo files(ending with .undo) in the current folder and calculate the total size of them,find -name '*.undo' -exec wc -c {} + | tail -n 1 "Search all variables and their values for ""NAME""",env | grep NAME "Search appended data in ""logfile.log"" for ""something"" with a timeout of 3 seconds","tail -f logfile.log | grep --line-buffered ""something"" | read -t 3" "Search case insensitively for 'facebook', 'xing', 'linkedin', ''googleplus' in file 'access-log.txt', extract the matched part, sort them and print them by sorting them in asending order of the number of repeated lines","grep -ioh ""facebook\|xing\|linkedin\|googleplus"" access-log.txt | sort | uniq -c | sort -n" Search case insensitively for 'foo' in all the files with '.java' extension under current directory tree and show only the file names,"find . -type f -name ""*.java"" -exec grep -il 'foo' {} \;" search character special files called ' backup ' from /usr directory downwards and print them .,find /usr -type c -name backup -print Search core files in current direcory and delete .,find . -name core -exec rm {} \; "Search the CSS files found in the current directory tree for string ""foo""",find . -name \*.css -print0 | xargs -0 grep -nH foo Search the current directory and two levels below for file `teste.tex',find ~/ -maxdepth 3 -name teste.tex Search the current directory and all of its sub-directories for the file 'file1'.,find . -name file1 -print Search the current directory and directories below for .sql files,find . -name \*.sql "Search the current directory for all regular files executable by 'user', 'group', and 'others'",find . -maxdepth 1 -type f -perm -ugo=x Search the current directory for files whose names start with my,find . -name 'my*' "Search the current directory for files whose names start with ""messages."" ignoring SVN files","find \( -name 'messages.*' ! -path ""*/.svn/*"" \) -exec grep -Iw uint {} +" "Search the current directory for files whose names start with ""messages."" ignoring SVN, GIT, and .anythingElseIwannaIgnore files",find -name 'messages.*' -exec grep -Iw uint {} + | grep -Ev '.svn|.git|.anythingElseIwannaIgnore' "Search the current directory for HTML files whose names begin with ""a""",find . -maxdepth 1 -name a\*.html Search the current directory for regular files whose names start with my,find . -name 'my*' -type f "Search the current directory recursively for *.txt files with lines that match regular expression ""^string""","find . -name ""*.txt"" -exec egrep -l '^string' {} \;" Search the current directory recursively for .m4a files,find . -type f -iname *.m4a -print "Search the current directory recursively for .sh files whose names begin with ""new""","find . -name ""new*.sh""" "Search the current directory recursively for files containing ""string""",find . -type f -exec grep -l 'string' {} \; "Search the current directory recursively for files last modified within the past 24 hours ignoring .swp files and ""en"" and ""es"" directories","find . \( -name en -o -name es \) -prune , -mtime 0 ! -name ""*.swp""" Search the current directory recursively for files last modified within the past 24 hours ignoring .swp files and paths ./es* and ./en*,"find ""$(pwd -P)"" -mtime 0 -not \( -name '*.swp' -o -regex './es.*' -o -regex './en.*' \)" Search the current directory recursively for files last modified within the past 24 hours ignoring paths ./es* and ./en*,find . -mtime 0 | grep -v '^\./en' | grep -v '^\./es' "Search the current directory recursively for files with the exact permissions u=rwx,g=rx,o=rx","find . -perm a=rwx,g-w,o-w" Search the current directory recursively for files writable for `others',find . -perm -o+w Search the current directory recursively for MOV files,find . -iname *.mov "Search the current directory recursively for MOV files, following symlinks","find . -iname ""*.mov"" -follow" Search the current directory recursively for regular files last accessed 2 days ago,find . type -f -atime 2 Search the current directory recursively for regular files last accessed 2 minutes ago,find . type -f -amin 2 Search the current directory recursively for regular files last accessed less than 2 days ago,find . type -f -atime -2 Search the current directory recursively for regular files last accessed less than 2 minutes ago,find . type -f -amin -2 Search the current directory recursively for regular files last accessed more than 2 days ago,find . type -f -atime +2 Search the current directory recursively for regular files last accessed more than 2 minutes ago,find . type -f -amin +2 Search the current directory recursively for regular files last changed 2 days ago,find . type -f -ctime 2 Search the current directory recursively for regular files last changed less than 2 days ago,find . type -f -ctime -2 Search the current directory recursively for regular files last changed more than 2 days ago,find . type -f -ctime +2 Search the current directory recursively for regular files last modified less than 2 days ago,find . type -f -mtime -2 Search the current directory recursively for regular files modified 2 days ago,find . type -f -mtime 2 "Search the current directory recursively for regular files, skipping hidden files in the current directory",find * -type f -print Search the current directory recursively for regular files with the extension given as variable $extension,"find . -type f -name ""*.$extension""" "Search the current directory tree for *.c and *.asm files, ignoring the case","find . -type f \( -iname ""*.c"" -or -iname ""*.asm"" \)" "Search the current directory tree for *.wav files that have ""export"" in their pathnames","find -type f -name ""*.wav"" | grep export" Search the current directory tree for *bash* files printing them on a single line,"find . -name ""*bash*"" | xargs" Search the current directory tree for .VER files,"find . -name ""*.VER""" Search the current directory tree for .aux files,"find . -name "".aux""" "Search the current directory tree for .rb files ignoring the ""./vendor"" subdirectory","find . -name '*.rb' ! -wholename ""./vendor/*"" -print" "Search the current directory tree for a regular file named ""file_name""",find . -type f -name file_name Search the current directory tree for all .java files that were last modified at least 7 days ago,find . -name '*.java' -mtime +7 -print Search the current directory tree for all files except SVN ones,"find . ! -regex "".*[/]\.svn[/]?.*""" Search the current directory tree for all image files,"find . -type f -regex "".*\.\(jpg\|jpeg\|gif\|png\|JPG\|JPEG\|GIF\|PNG\)""" Search the current directory tree for an html file having the text 'Web sites' in it,"find . -type f -iname \*.html -exec grep -s ""Web sites"" {} \;" Search the current directory tree for directories,"find ""$PWD"" -type d" Search the current directory tree for executable files,find . -type f -executable -print Search the current directory tree for executable files and searchable directories,find -executable Search the current directory tree for executable regular files,find . -executable -type f "Search the current directory tree for file ""a.txt""","find . -name ""a.txt"" -print" Search the current directory tree for file `teste.tex',find -name teste.tex Search the current directory tree for files AAA and BBB,find . \( -name AAA -o -name BBB \) -print "Search the current directory tree for files and directories called ""test""",find . -name test -print "Search the current directory tree for files and directories whose names do not end in "".exe"" and "".dll""","find . ! \( -name ""*.exe"" -o -name ""*.dll"" \)" "Search the current directory tree for files and directories whose names do not end in ""exe"" and ""dll""",find . | grep -v '(dll|exe)$' "Search the current directory tree for files containing ""album"" and ""vacations"" in their names and not containing ""2015""","find . -name ""*album*"" -a -name ""*vacations*"" -a -not -name ""*2015*""" "Search the current directory tree for files containing ""needle"" in their names","find . -iname ""*needle*""" "Search the current directory tree for files containing ""sh"" in their names","find . -name ""*sh*""" "Search the current directory tree for files containing ""string"" in their path names",find | egrep string Search the current directory tree for files executable by at least someone,find . -type f -perm +111 -print Search the current directory tree for files last accessed more than 10 days ago,find . -atime +10 "Search the current directory tree for files matching sed regular expression '.*myfile[0-9]\{1,2\}'","find . -regextype sed -regex '.*myfile[0-9]\{1,2\}'" "Search the current directory tree for files named ""accepted_hits.bam""","find . -name ""accepted_hits.bam""" "Search the current directory tree for files named ""somename"", case insensitive",find -iname 'somename' Search the current directory tree for files named 'Subscription.java',find . -name 'Subscription.java' "Search the current directory tree for files whose names are not ""a.txt""","find . ! -name ""a.txt"" -print" "Search the current directory tree for files whose names contain ""TextForRename""","find ./ -name ""*TextForRename*""" "Search the current directory tree for files whose names end in ""rb"" or ""js""","find . -name ""*js"" -o -name ""*rb""" "Search the current directory tree for files whose names end in ""rb"" or ""js"" and which contain string ""matchNameHere""","find . -regextype posix-ergep -regex "".*(rb|js)$"" -exec grep -l matchNameHere {} \;" "Search the current directory tree for files whose names match regular expression '.*packet.*', ignoring the case","find . -iregex "".*packet.*""" "Search the current directory tree for files whose names do not end in ""1"" and ""2""","find . -type f ! -name ""*1"" ! -name ""*2"" -print" "Search the current directory tree for the files with extension ""trc"" and list them if they are more than three days old","find . -name ""*.trc"" -ctime +3 -exec ls -l {} \;" "Search the current directory tree for the files with extension ""trc"" and remove them if they are more than three days old","find . -name ""*.trc"" -ctime +3 -exec rm -f {} \;" "Search the current directory tree for files without ""test"" in their path names","find . -not -regex "".*test.*""" Search the current directory tree for filenames matching the pattern '[mM][yY][fF][iI][lL][eE]*',find . -name '[mM][yY][fF][iI][lL][eE]*' Search the current directory tree for PHP files changed less than 14 days ago,find . -name *.php -ctime -14 Search the current directory tree for regular .mkv files,"find . -type f -name ""*.mkv""" Search the current directory tree for regular files changed less than 1 day ago,find . -type f -ctime -1 Search the current directory tree for regular files changed on the 10th of September,find ./ -type f -ls |grep '10 Sep' Search the current directory tree for regular files last changed more than 14 days ago,find -type f -ctime +14 "Search the current directory tree for regular files named `doc.txt' and print ""found"" for each of them","find ./ -type f -name doc.txt -printf ""found\n""" Search the current directory tree for regular files omitting directory `omit-directory',find . -name omit-directory -prune -o -type f Search the current directory tree for regular files that were accessed $FTIME days ago,find . -type f -atime $FTIME Search the current directory tree for regular files that were changed $FTIME days ago,find . -type f -ctime $FTIME "Search the current directory tree for regular files whose names begin with ""orapw""","find . -name ""orapw*"" -type f" "Search the current directory tree for regular files whose names end in "".shtml"" or "".css""","find -type f -regex "".*/.*\.\(shtml\|css\)""" "Search the current directory tree for regular files whose names end in ""log""","find `pwd` -name ""*log"" -type f" Search the current directory tree for regular files whose names match pattern $x,find . -type f -name $x Search the current directory tree for symbolic links to files matching pattern '*test*',find . -lname '*test*' Search the current directory tree for symlinks pointing at other symlinks,find . -type l -xtype l Search the current directory up to depth level 2 for files and directories,find . -maxdepth 2 Search the current user's home directory and its sub-directories for any files accessed after alldata.tar was last accessed and add them to that same tar archive.,find ~/ -newer alldata.tar -exec tar uvf alldata.tar {} \; Search the current user's home directory and its sub-directories for any file that ends in .tar-gz and was modified after filename was last modified.,find ~/ -name *.tar.gz -newer filename Search the current user's home directory and its sub-directories for any file that was modified less than 2 days ago or was modified after filename was last modified.,find ~/ -mtime -2 -o -newer filename "Search decompressed ""filename.gz"" for case-insensitive ""user-user""",zcat filename.gz | grep -i user-user Search the dir_data directory and all of its sub-directories for regular files and remove the execute permission for all while adding the write permission for the user.,"find ~/dir_data -type f -exec chmod a-x,u+w {} \;" Search directory /Users/david/Desktop/ recursively for regular files,find /Users/david/Desktop/ -type f "Search directory /home/ABCD recursively, starting from one level below, for regular files",find /home/ABCD/ -mindepth 1 -type f -print "Search directories /opt, /usr, /var for regular file foo",find /opt /usr /var -name foo -type f Search directories /res/values-en-rUS and /res/xml for XML files,find /res/values-en-rUS /res/xml -iname '*.xml' Search directories called ' backup ' from /usr directory downwards and print them.,find /usr -type d -name backup -print "Search the directories given as arguments to the Bash script for files whose name is not ""ss""",find $@ -not -name ss Search the directory given as variable $d for empty subdirectories,"find ""$d"" -mindepth 1 -prune -empty" Search directory lpi104-6 for files with inode number 1988884,find lpi104-6 -inum 1988884 "Search the directories matching pattern ""/path/to/some/dir/*[0-9]"" for level 1 subdirectories",find /path/to/some/dir/*[0-9] -type d -maxdepth 1 "Search the directories that match pattern '/path/to/directory/folder{?,[1-4]?,50}' for .txt files","find /path/to/directory/folder{?,[1-4]?,50} -name '*.txt'" Search directory tree $DIR for *.txt files,"find ""$DIR"" -name \*.txt" Search directory tree `MyApp.app' for directories whose name is 'Headers' and delete them,"find -d MyApp.app -name Headers -type d -exec rm -rf ""{}"" \;" Search directory tree `MyApp.app' for directories whose name is 'Headers' and delete them in an optimized way,find -d MyApp.app -name Headers -type d -exec rm -rf {} + Search directory tree `foo' for files named `Headers',find foo -name Headers "Search directory tree /srv/${x} for regular files accessed at least 10080 minutes ago, and remove those files",find /srv/${x} -mindepth 1 -type f -not -amin -10080 -exec rm {} \; "Search directory trees /tmp and /var/tmp for ""testfile.txt""","find /tmp /var/tmp -iname ""testfile.txt""" Search the directory tree /tmp for regular files using zero delimiter for output,find /tmp -type f -print0 Search directory trees /usr/local/man and /opt/local/man for files whose names begin with 'my',find /usr/local/man /opt/local/man -name 'my*' "Search directory trees /usr/share/doc, /usr/doc, and /usr/locale/doc for files named 'instr.txt'",find /usr/share/doc /usr/doc /usr/locale/doc -name instr.txt Search the entire file hierarchy for files ending with '~' and print all matches except for those with '/media' in their pathnames.,"find / -name ""*~"" | grep -v ""/media""" Search the entire file hierarchy for files larger than 100 megabytes and delete them.,find / -size +100M -exec /bin/rm {} \; Search the entire file system for any file that is writable by other.,find / – perm -0002 "search the entire file system for the file ""jan92.rpt""",find / -name jan92.rpt -print Search every directory except the subdirectory excluded_path for a regular file 'myfile',find / -path excluded_path -prune -o -type f -name myfile -print Search everywhere for hidden file `.profile',find / -name .profile "search the file ""myfile.txt"" in home folder","find ""$HOME/"" -name myfile.txt -print" "Search file /etc/logs/Server.log for lines containing ""Error""",find /etc/logs/Server.log -exec grep Error {} \; -print Search file aaa from current direcoty downwards and print it .,find . -name aaa -print "Search the files from the current directory tree for ""chrome""",find . -exec grep chrome {} + "Search the files from the current directory tree for text ""documentclass""",find . -type f -print0 | xargs -0 grep -H 'documentclass' "Search the files from directory tree ""dirname"" for string ""foo""",find dirname -print0 | xargs -0 grep foo Search the file hierarchy for files larger than 100000 KB without searching any mounted removable media,find / -path /media -prune -o -size +200000 -print "search files in the file system excluding those in the paths ""10_Recommended"" and ""/export/repo""","find / -name whatever -not -path ""/10_Recommended*"" -not -path ""/export/repo/*""" search files in the folder /home which have been modified after /tmp/after and before /tmp/before,find /home/ -type f -newer /tmp/after -not -newer /tmp/before search the file myfile.txt in the current folder,find . -name myfile.txt -print "Search the files residing in the current directory tree whose names contain ""bills"" for ""put""","find . -name ""*bills*"" -print0 | xargs -0 grep put" Search the file system for regular files whose names are shorter than 25 characters,"find / -type f -regextype posix-extended -regex '.*/.{1,24}$'" "Search the files under and below /directory/containing/files for ""pattern_to_search""",find /directory/containing/files -type f -exec grep -H 'pattern_to_search' {} + Search folder /home/ABCD/ recursively for regular files,find /home/ABCD/ -type f -print "Search for "" 000"" in the hex dump of ""file-with-nulls""",od file-with-nulls | grep ' 000' "Search for ""#define"" in all files in the current directory, excluding backup files *~, *.orig, *.bak","find . -maxdepth 1 ! -regex '.*~$' ! -regex '.*\.orig$' \ ! -regex '.*\.bak$' -exec grep --color ""#define"" {} +" "Search for ""1234567890"" in every gzip file modified between 8:00 and 9:00 on 2014-04-30",find . -newermt '2014-04-30 08:00:00' -not -newermt '2014-04-30 09:00:00' |xargs gunzip -c | grep 1234567890 "Search for ""CONFIG_64BIT"" in gzip compressed file ""/proc/config.gz""",zcat /proc/config.gz | grep CONFIG_64BIT "Search for ""LOG"" in jsmith's home directory tree",find ~jsmith -exec grep LOG '{}' /dev/null \; -print "Search for ""Stock"" in all *.java files from the current directory tree","find . -name ""*.java"" | xargs grep ""Stock""" "Search for ""YOURSTRING"" in all files under current directory",grep YOURSTRING `find .` "Search for ""foo"" in every file in the current directory and number the output",grep foo * | nl "Search for ""largecalculation"" in all processes owned by the current user",ps -u `whoami` | grep largecalculation "search for ""message.txt"" in the folder .cache/bower and display its contents","find .cache/bower/ -name ""message.txt"" | xargs cat" "Search for ""pattern"" in all the .c files in the current directory tree","find . -name ""*.c"" | xargs grep pattern" "search for ""specified string"" in all the php files in the current folder",find . -name “*.[php|PHP]” -print | xargs grep -HnT “specified string” "Search for ""vid=123"" in all compressed files found under ""/my_home"" matching ""*log.20140226*""",zcat `find /my_home -name '*log.20140226*'`|grep 'vid=123' "Search for ""www.athabasca"" in all files under current directory","find . -exec grep ""www.athabasca"" '{}' \; -print" Search for $GROUP at the beginning of each line in /etc/group and print the last colon (':') separated entry with comma replaced with newlines,"grep ^$GROUP /etc/group | grep -o '[^:]*$' | tr ',' '\n'" Search for $SEARCH in all regular files under $DIR directory tree and display the number of bytes of the matched output,find $DIR -type f -exec grep $SEARCH /dev/null {} \; | wc --bytes Search for '/usr/bin/perl' in all regular files under current dirctory tree and also show a long listing of them,"find . -type f -exec grep ""/usr/bin/perl"" {} \; -ls" Search for 'Attached: Yes' in all regular files under '/proc/scsi' directory tree matching the path '/proc/scsi/usb-storage' and show only the matched filenames,find /proc/scsi/ -path '/proc/scsi/usb-storage*' -type f | xargs grep -l 'Attached: Yes' Search for 'It took' in all $srch1* (case insensitive) files under current directory,"find . -iname ""$srch1*"" -exec grep ""It took"" {} \; -print" Search for 'Processed Files' in all $srch* (case insensitive) files under current directory,"find . -iname ""$srch*"" -exec grep ""Processed Files"" {} \; -print" Search for 'Text To Find' in all regular files under current directory tree and show the matched files and matched lines with line numbers,"find ./ -type f -exec grep -Hn ""Text To Find"" {} \;" Search for 'class Pool' in all *.java (case insensitive) files under current directory,find -iname '*.java'|xargs grep 'class Pool' Search for 'example' in all regular files under current directory tree and also print the filenames,"find . -type f -exec grep ""example"" '{}' \; -print" Search for 'foo=' in all *.png files under current directory without descending into *.gif and *.svn directories,"find . -name ""*.png"" -prune -o -name ""*.gif"" -prune -o -name ""*.svn"" -prune -o -print0 | xargs -0 -I FILES grep -IR ""foo="" FILES" Search for 'invalidTemplateName' in all regular files in directories/files taken from the glob pattern './online_admin/*/UTF-8/*' and show the matched lines with the filenames,"find ./online_admin/*/UTF-8/* -type f -exec grep -H ""invalidTemplateName"" {} \;" Search for 'ireg' in all PHP files under 'project' directory tree and show only the files that match,find project -name '*.php' -type f -print0 | xargs -0 grep -l ireg Search for 'js' in all files under current directory that match 'some string' in their names,find . | grep 'some string' | grep js Search for 'keyword' in all javascript files under current directory tree excluding all paths that includes the directory 'node_modules',"find ./ -not -path ""*/node_modules/*"" -name ""*.js"" | xargs grep keyword" Search for 'mystring' in all *.txt (case insensitive) files under current directory,find . -iname *.txt -exec egrep mystring \{\} \; Search for 'mystring' in all *.txt files under current directory,"find . -name ""*.txt"" -exec egrep mystring {} \;" Search for 'organic' in all files with '.html' extension under ~/html directory,find ~/html/ -name '*.html' -exec grep organic '{}' ';' Search for 'pattern' in all files with '.cc' extension under current directory tree and show the matched lines with line numbers and filenames,find . -name “*.cc” |xargs grep -n “pattern” Search for 'pattern' in file 'file' and print the matched lines by separating them with spaces instead of newlines,grep pattern file | tr '\n' ' ' Search for 'some string' in all *.axvw files under current directory and show the matched lines with line numbers,find . -name '*.axvw' -exec grep -n 'some string' {} + Search for 'some string' in all *js files under current directory and show the matched lines with line numbers,find . -name '*js' -exec grep -n 'some string' {} \; Search for 'sometext' in all the files with '.txt' extension under current directory tree and also print the filenames,find . -name '*.txt' -exec grep 'sometext' '{}' \; -print Searches for 'something' in a large file and prints the matching line,grep -n 'something' HUGEFILE | head -n 1 Search for 'string-to-find' in all files under current directory tree and show the matched lines with their filenames,find . -exec grep -H string-to-find {} \; Search for 'string-to-find' in all files under current directory tree matching the regex 'filename-regex.\*\.html' in their paths and show the matched lines along with the filenames,find . -regex filename-regex.\*\.html -exec grep -H string-to-find {} \; Search for 'text' in all regular files under current directory tree,"find . -type f -exec grep ""text"" {} /dev/null \;" Search for 'whatIWantToFind' in all files under current directory,find . -exec grep whatIWantToFind {} \; search for *.log files starting from / (root) and only in the current file system,"find / -xdev -name ""*.log""" Search for .bam files anywhere in the current directory recursively,"find . -name ""*.bam""" Search for .zip files that are larger than 100M found anywhere in the file system and delete those files.,find / -type f -name *.zip -size +100M -exec rm -i {} \; search for a cpp directory in current folder and display all its files,"find . -type d -name ""cpp"" -exec find {} -type f \;" "search for a file ""file"" in current folder and display all instances of this file",find -name file -print search for a function in all python files in the current folder,find . -name '*.py' | xargs grep some_function "Search for a pattern ""can't"" in all the files with the name ""file-containing-can't"" in the current directory tree","find . -name ""file-containing-can't"" -exec grep ""can't"" '{}' \; -print" search for a shell script in the current folder and display the current folder path,find . -name onlyme.sh -exec pwd \; search for a shell script in the current folder and display the current folder path but search from the sub directories,find . -name onlyme.sh -execdir pwd \; search for a word in all the .C files in the current directory,"find . -name ""*.c"" -exec grep -ir ""keyword"" {} "";""" "search for a word in all the .C files( those having the extension ""c"") in current directory",find . -type f \( -iname “*.c” \) |grep -i -r “keyword” search for a word in all c files in the current folder,find . -name '*.c' | xargs grep 'stdlib.h' search for a word in all files in a directory,"find /directory/containing/files -type f -print0 | xargs -0 grep ""test to search""" search for a word in all the files in the current directory (case insensitive search),find . -type f -exec grep 'needle' {} \; search for a word in all the files in the current directory and display the file paths relative to the current directory,find . -exec grep -l foo {} + search for a word in all the files in the current directory and display the list of matched files.,find . -type f -exec grep -l 'needle' {} \; search for a word in all the fies in the current folder,find . -type f -exec grep some_string {} \; search for a word in all the files in the entire filesystem and display the matched fline along with the file name,find / -type f -exec grep -Hi 'the brown dog' {} + search for a word in all the regular/normal files in the entire filesystem. ( + is used to give more than one file as input to the grep command.,find / -type f -exec grep -i 'the brown dog' {} +; search for a word in all the shell scripts in the current folder and display the matched files.,"find . -type f -name ""*.sh"" -exec grep -l landoflinux {} \;" search for a word in all the shell scripts in the current folder and display the matched files.(case insensitive search in grep commad),"find . -type f -name ""*.sh"" -exec grep -il landoflinux {} \;" Search for aaa in all files under current directory and count the number of matches,find . -type f -exec grep -o aaa {} \; | wc -l search for al cpp files in current folder and display distinct parent directory of these files in sorted order,"find . -name ""*.cpp"" -exec dirname {} + | sort -u" search for al cpp files in current folder and display unique parent directory of these files in sorted order,"find . -name ""*.cpp"" -exec dirname {} \; | sort -u" "search for all the "".c"" files in the folder ""/home/david"" which have been modified in the last 10 minutes",find /home/david -mmin -10 -name '*.c' "search for all ""tif"" images in the entire file system",find / -name '*.tif ' –print Search for all *.conf files in entire file system,"find / -type f -name ""*.conf""" "Search for all .html files in directory ""www"" and output only the basename (without containing path) of each.",find www -name \*.html -type f -exec basename {} \; "search for all the directories ending with "".mp3"" in the file system and move them to the folder /mnt/mp3","find / -iname ""*.mp3"" -type d -exec /bin/mv {} /mnt/mp3 \;" search for all empty directories in the folder /home,find /home -type d -empty "search for all files ending with "".mkv"" in current folder","find /volume1/uploads -name ""*.mkv""" "search for all the files ending with ""fits"" in the folder ""/store/01""","find /store/01 -name ""*.fits""" search for all the files having spaces in the current folder and save the output to the variable founddata,"founddata=`find . -name ""filename including space"" -print0`" Search for all files in the /home directory tree that have the same inode number,find /home -xdev -inum 2655341 "Search for all files in the current directory recursively whose names begin with ""Linkin Park""","find . -name ""Linkin Park*""" "Search for all files in the current directory recursively whose names contain ""linkin"", ignoring the case",find . -iname *linkin* "Search for all files in the current directory recursively whose names end with ""Linkin Park""","find . -name ""*Linkin Park""" search for all the files in the current folder which have spaces and force delete them,"find . -name ""filename including space"" -print0 | xargs -0 rm -rdf" "search for all the files in current folder which start with ""file2015-0"" and move them to another folder","find . -name ""file2015-0*"" -exec mv {} .. \;" "search for all the files in current folder which start with ""file2015-0"" and move them to frst 400 fiiles to another folder","find . -name ""file2015-0*"" | head -400 | xargs -I filename mv filename" search for all the files in the current folder which start with gen and end with bt2 and assign the output list to the variable var.,"var=""$(find . -name 'gen*.bt2')""" search for all the files in the folder /data/images which are modified after /tmp/foo,find /data/images -newer /tmp/foo Search for all the files in man pages and return the manual page for grep,find /usr/share/man/ -regex .*/grep* Search for all files newer than file /tmp/t,find / -newer /tmp/t Search for all files newer than file /tmp/t1 but not newer than file /tmp/t2,find / -newer /tmp/t1 -and -not -newer /tmp/t2 Search for all files not newer than file /tmp/t,find / -not -newer /tmp/t Search for all files owned by user www-data that are not larger than 100kb,find -user www-data -not -size +100k search for all the files which have not been modified in the last 6 months (180 days) in current folder and display the disk usage of them,find . -mtime +180 -exec du -sh {} \; "Search for all files with either ""sitesearch"" or ""demo"" in their path names",find . -ipath '*sitesearch*' -ipath '*demo*' searching for all files with the extension mp3,find / -name *.mp3 Search for all files with same inode NUM,find . -inum NUM Search for all files with the same inode number 41525360,find . -follow -inum 41525360 search for all the foo.txt files in the current folder and move them to another location,find . -name foo.txt -print0 | xargs -0 -I{} mv {} /some/new/location/{} "search for all the jpg files in the folder ""/mnt/hda1/zdjecia/test1/"" and copy these files to the folder /mnt/hda1/test/",find /mnt/hda1/zdjecia/test1/ -iname “*.jpg” -type f -exec cp {} -rv /mnt/hda1/test{} ‘;’ search for all the mp3 files in the file system and move them to the folder /mnt/mp3,"find / -iname ""*.mp3"" -exec mv {} /mnt/mp3 \;" search for all the mp3 files in the folder /home/you which have been accessed 24 ago,find /home/you -iname “*.mp3” -atime 01 -type -f search for all mp3 files in the folder /home/you which have been accessed exactly 10*24 hours ago,"find /home/you -iname ""*.mp3"" -atime 10 -type -f" "search for all non empty regular/normal files in the current folder and empty them ie., delete the content not the file",find . -type f -maxdepth 1 -not -empty -print0 | xargs -0i cp /dev/null {} Search for all non-hidden files,find . -name '*' "search for all pdf files in the folder ""/home/pdf"" which have been accessed in the last 60*24 hours","find /home/you -iname ""*.pdf"" -atime -60 -type -f" search for all perl files in the folder /nas/projects/mgmt/scripts/perl which have been modified yesterday,"find /nas/projects/mgmt/scripts/perl -mtime 1 -daystart -iname ""*.pl""" "search for all the php files in the folder ""/home/mywebsite"" which have been changed in the last 30*24 hours","find /home/mywebsite -type f -name ""*.php"" -ctime -30" search for all the regular/normal files in the /etc folder which have been modified in the last 24 hours,find /etc/ -type f -mtime -1 search for all regular/normal files in current folder and display all the files which contain 16 lines,find . -type f -print0 | xargs -0 grep -cH '.' | grep ':16$' search for all the regular files in the current folder and display the contents,find . -type f -exec cat {} \; search for all the regular files that have been changed in the last 48 hours and sync these to another folder,"find /my/source/directory -ctime -2 -type f -printf ""%P\n"" | xargs -IFILE rsync -avR /my/./source/directory/FILE /my/dest/directory/" "search for all the regular/normal files with the name ""access.log"" in the folder /var/www which are bigger than 100MB",find /var/www -type f -name «access.log*» -size +100M search for all the regular/normal mp3 files in the file system and move them to the folder /mnt/mp3,"find / -iname ""*.mp3"" -type f -exec /bin/mv {} /mnt/mp3 \;" search for all Scala files under the current directory that contain the string null,"find . -type f -name ""*.scala"" -exec grep -B5 -A10 'null' {} \;" search for all tar.gz compress files in the current folder,find -name *.tar.gz search for all the text files and display the long listing of these files from that directory,"find . -name ""*.txt"" -execdir ls -la {} "";""" search for all the text files in the folder /foo and delete them,"find /foo/ -name ""*.txt"" -exec rm -v {} \;" search for all text files in the folder /home,find /home -name *.txt search for all xml files in current folder and display them,"find . -name ""*.xml"" -exec echo {} \;" Search for all zero-byte files and move them to the /tmp/zerobyte folder,find test -type f -size 0 -exec mv {} /tmp/zerobyte \; "Search for the case insensitive pattern 'search for me' in all files with '.p', '.w' and '.i' extension under current directory tree without descending into '.svn' and 'pdv' directories","find . \( \( -name .svn -o -name pdv \) -type d -prune \) -o \( -name '*.[pwi]' -type f -exec grep -i -l ""search for me"" {} + \)" Search for the case insensitive regex expanded by $2 in all files named $1 (to be expanded) under current directory,"find . -name ""$1"" -type f -exec grep -i ""$2"" '{}' \;" "Search for case-insensitive ""string"" in ""log.tar.gz""","zcat log.tar.gz | grep -a -i ""string""" "(Linux specific) Search for command ""tail"" in the maps of the process with PID 2671",cat /proc/2671/maps | grep `which tail` search for dbmsspool.sql file in the current folder,find . -print|grep ?i dbmspool.sql "search for the directory ""config"" in the current folder",find . -name config -type d "search for the directory ""config"" in the current folder and change directory to it","cd `find . -name ""config""`" "search for the directory ""ora10"" in the entire file system","find / -type d -name ""ora10""" "search for the directory ""uploads"" in current folder and change the permission of the folder and all the files to 755",find . -type d -name 'uploads' -print0 | xargs -0 chmod -R 755 "search for directories in the folder ""test"" which end have 5 digits as their name",find ./test -type d -name '[0-9][0-9][0-9][0-9][0-9]' "search for directories in the folder ""test"" which end with 5 digits using regular expressions",find ./test -regextype posix-egrep -type d -regex '.*/[0-9]{5}$' "search for the directory starting with ""ora10"" in the entire file system","find / -type d -name ""ora10*""" search for the directory testdir in the folder /home,find /home -type d -name testdir Search for ERROR in all btree*.c files under current directory,grep ERROR $(find . -type f -name 'btree*.c') "Search for the extended regex expanded by""$MONTH\/$YEAR.*GET.*ad=$ADVERTISER HTTP\/1"" in the decompressed contents of the /var/log/apache2/access*.gz files that are newer than ./tmpoldfile and older than ./tmpnewfile","find /var/log/apache2/access*.gz -type f -newer ./tmpoldfile ! -newer ./tmpnewfile \ | xargs zcat | grep -E ""$MONTH\/$YEAR.*GET.*ad=$ADVERTISER HTTP\/1"" -c" "search for the file ""abc"" in the current folder or display all the directories",find . -name abc -or -type d "search for the file ""file"" in current folder and save the output to the same file",find -name file -fprint file "search for the file ""file_name"" in the folder /path",find /path -name file_name "search for the file ""filename"" in the entire file system",find / -name filename "search for the files ""foo.txt"" in the current folder and rename it to foo.xml",find -name foo.txt -execdir rename 's/\.txt$/.xml/' '{}' ';' "search for the file ""myletter.doc"" in the home folder",find ~ -name myletter.doc -print "search for the file ""process.txt"" in the current directory","find . -name ""process.txt""" "search for the file ""process.txt"" in the current folder (case insensitive search)",find . -iname 'process.txt' -print "search for the file ""process.txt"" in the entire file system","find / -name ""process.txt""" "search for the file ""process.txt"" in the entire file system (case insensitive search)",find / -iname 'process.txt' -print Search for files/directories named 'fileName.txt' under '/path/to/folder' directory tree without traversing into directories that contain the string 'ignored_directory' in their paths,"find /path/to/folder -path ""*/ignored_directory"" -prune -o -name fileName.txt -print" Search for files/directories named 'fileName.txt' under current directory tree without traversing into './ignored_directory',find . -path ./ignored_directory -prune -o -name fileName.txt -print Search for files/directories which are writable by both their owner and their group,find . -perm -220 Search for files/directories which are writable by either their owner or their group,find . -perm /220 Search for files/directories with a case insensitive .txt extension in entire file system,find / -iname '*.txt' Search for files/directories with the case insensitive pattern anaconda* in /var/log,find /var/log/ -iname anaconda* Search for files/directories with the case insensitive pattern anaconda.* in /var/log,find /var/log/ -iname anaconda.* Search for files/directories with the case insensitive pattern anaconda.* in /var/log directory and create an archive (file.tar) of the last file found,find /var/log/ -iname anaconda.* -exec tar -cvf file.tar {} \; Search for files/directories with the case insensitive pattern anaconda.* in var/log directory,find var/log/ -iname anaconda.* Search for files/directories with the case insensitive pattern anaconda.* in var/log directory and create an archive (file.tar) of all the files found,"find var/log/ -iname ""anaconda.*"" -exec tar -rvf file.tar {} \;" Search for files/directories with the case insensitive pattern anaconda.* in var/log directory and create an archive (somefile.tar) of all the files found,"tar -cvf file.tar `find var/log/ -iname ""anaconda.*""`" Search for files/directories with the case insensitive pattern anaconda.* in var/log directory and create an archive (file1.tar) of the last block of files sent to xargs,find var/log/ -iname anaconda.* | xargs tar -cvf file1.tar Search for files/directories with the case insensitive pattern anaconda.* in var/log directory and create an archive (file.tar) of the last file found,find var/log/ -iname anaconda.* -exec tar -cvf file.tar {} \; Search for files bigger than 10M,find ~ -size +10M search for the file centos in /usr folder ( case insenstive search ),find /usr -iname centos search for the file chapter1 in the folder /work,find /work -name chapter1 "search for the file, filename.txt in the current folder",find . -name filename.txt "search for the file, filename.txt in the current folder ( case insensitive search )",find . -iname filename.txt "search for files in the current folder ending with "".au""",find -type f -name '*.au' search for files in current folder using regular expressions,find ./ -regex '.*\..*' "search for the files in the current folder which begin with the word ""kt"" followed by a digit",find . -name 'kt[0-9] ' "search for the file in the entire file system which has the words ""filename"" in its name",find / -name ”*filename*” Search for files in your home directory which have been modified in the last twenty-four hours,find $HOME -mtime 0 "search for the file job.hostory in the folder ""/data/Spoolln""",find /data/SpoolIn -name job.history "search for files named ""WSFY321.c"" in a case-insensitive manner","find . -iname ""WSFY321.c""" search for the file picasso in the folder /home/calvin/ (case insensitive search),find /home/calvin/ -iname “picasso” Search for files specifying the maximum depth of the search,find -maxdepth num -name query Search for files specifying the minimum depth of the search,find -mindepth num -name query search for files starting with memo and which belong to the user ann in the folder /work,find /work -name 'memo*' -user ann -print search for the file test.txt in the folders /home and /opt,find /home /opt -name test.txt search for the file test2 in the current folder,find -name test2 Search for files that are at least 1.1GB,find / -size +1.1G Search for the files that are owned by user rooter or by user www-data,find -user root -o -user www-data Search for files that were accessed less than 5 days ago.,find -atime -5 "search for the files which contain the word start in their name excluding search in ./proc, ./sys, ./run folders",find . -path ./proc -prune -or -path ./sys -prune -or -path ./run -prune -or -iname '*start*' -print "Search for files whose name is ""filename"" and whose permissions are 777","find / -perm 777 -iname ""filename""" "Search for files with ""demo"" in their names and ""sitesearch"" in their path names",find . -iname '*demo*' | grep -i sitesearch "Search for files with ""sitesearch"" in their names and ""demo"" in their path names",find . -iname '*sitesearch*' | grep demo "search for the files with the name ""temp"" and which have not been accessed in the last 7*24 hours in the /usr folder",find /usr -name temp -atime +7 -print "search for files with the name ""temp"" in the /usr folder",find /usr -name temp -print "Search for filenames matching ""android"" in the current directory and number the output",ls | grep android | nl Search for first match of the case insensitive regex 'oyss' in all *.txt files under current directory and print the file paths along with the matches,find . -name '*.txt'|xargs grep -m1 -ri 'oyss' Search for first match of the case insensitive regex 're' in all *.coffee files under current directory and print the file paths along with the matches,find . -print0 -name '*.coffee'|xargs -0 grep -m1 -ri 're' "search for the folder .dummy and remove it from the folder ""Test folder""","find ""Test Folder"" -type d -name '.dummy' -delete" "search for the folder .dummy in the entire directory structure of ""test folder"" and remove it.","find -depth ""Test Folder"" -type d -name .dummy -exec rm -rf \{\} \;" Search for hidden files non-recursively,find . -name '.?*' -prune "search for the host ""slc02oxm.us.oracle.com"" in all the xml files in the current folder and display the files which has the matched content",find -name “*.xml” -exec grep -l “slc02oxm.us.oracle.com” {} \; "search for the ip ""192.168.1.5"" in all the files in /etc folder","find /etc/ -iname ""*"" | xargs grep '192.168.1.5'" "Search for line 111 in file ""active_record.rb"" with 2 lines of context",nl -ba -nln active_record.rb | grep -C 2 '^111 ' "Search for line number 111 in file ""active_record.rb""",nl -ba -nln active_record.rb | grep '^111 ' "Search for lines that have zero or more whitespace characters before ""http://"" and number the uniquely sorted output",grep '^[[:space:]]*http://' | sort -u | nl search for MP3 files in the current folder and subfolders exclude dir1 AND dir2,"find ! -path ""dir1"" ! -path ""dir2"" -iname ""*.mp3""" search for mp3 files in the folder /mp3collection which are smaller than 5MB,find /mp3collection -name '*.mp3' -size -5000k search for multiple files in the current folder,find . -name photoA.jpg photoB.jpg photoC.jpg "Search for occurrences of string ""main("" in the .c files from the current directory tree","find . -name ""*.c"" -print | xargs grep ""main(""" "search for the pattern ""tgt/etc/file1"" in the files tgt/etc/file2, tgt/etc/file3",find . -type f -name \* | grep tgt/etc/file1 tgt/etc/file2 tgt/etc/file3 Search for the pattern '^use strict' in all *.pl files under current directory,find . -name '*.pl' | xargs grep -L '^use strict' search for the pattern in all the regular/normal files in the entire file system,find / -type f -print0 | xargs -0 grep -i pattern "search for pattern matched files in the current folder and subfolders exclude ""excluded path""","find ./ -type f -name ""pattern"" ! -path ""excluded path"" ! -path ""excluded path""" "Search for the Perl regex ""[\x80-\xFF]"" in *.xml files under current directory tree","find . -name *.xml | xargs grep -P ""[\x80-\xFF]""" "Search for the regex ""+\S\+"" in file 'in.txt' and print the matches by replacing newlines with comma (',')","grep -o ""+\S\+"" in.txt | tr '\n' ','" "Search for the regex ""\$wp_version ="" in all the regular files that end with '/wp-includes/version.php' (case insensitive) in their paths in directories/files taken from the glob pattern '/var/www/vhosts/*/httpdocs' and show the matched lines along with the file names","find /var/www/vhosts/*/httpdocs -type f -iwholename ""*/wp-includes/version.php"" -exec grep -H ""\$wp_version ="" {} \;" Search for the regex '^ERROR' in all *.log files under current directory,"find . -name ""*.log"" -exec egrep -l '^ERROR' {} \;" Search for the regex ... in the manual of the find command,man find | grep ... Search for the regex ^catalina in the first line of each file under current directory,find -type f | xargs head -v -n 1 | grep -B 1 -A 1 -e '^catalina' "search for the regular/normal file ""Dateiname"" in the entire file system","find / -type f -iname ""Dateiname""" "search for the regular/normal file ""foo.bar"" and force delete it","find /home -name foo.bar -type f -exec rm -f ""{}"" ';'" "search for the regular/normal file ""myfile"" in the current folder excluding search in the paths of ""work"" and ""home"" sub directories",find . \( -name work -o -name home \) -prune -o -name myfile -type f -print "search for regular files in the current folder which path is not ""./.*"" and not ""./*/.*""","find ./ -type f -name ""*"" ! -path ""./.*"" ! -path ""./*/.*""" search for the regulars file starting with HSTD which have been modified yesterday from day start and copy them to /path/tonew/dir,find . -type f -iname ‘HSTD*’ -daystart -mtime 1 -exec cp {} /path/to new/dir/ \; "Search for the string ""ERROR"" in all XML files in the current working directory tree","find . -name ""*.xml"" -exec grep ""ERROR"" /dev/null '{}' \+" Search for the string 'device' in all regular files in the entire filesystem,"find / -type f -print | xargs grep ""device""" Search for the string 'git' in all the files under current directory tree excluding paths and names that contain the string 'git',"find . -not -path ""*git*"" -not -name '*git*' |grep git" search for swap files (.swp files) in temp folder and remove them,find /tmp -name '*.swp' -exec rm {} \; search for text files in the folders /home/hobbes/ /home/calvin/,find /home/hobbes/ /home/calvin/ -name “*.txt” search for text files in the folder /home/you which have been modified in the last 60*24 hours(case insensitive search) and display their contents,"find /home/you -iname ""*.txt"" -mtime -60 -exec cat {} \;" "Search for utility ""foo"" in PATH, display its file type description.",file $(which foo) "search for the word ""damian"" in all the regular/normal files in the /etc folder and display only the matched file name","find /etc -type f | xargs grep -l -i ""damian""" "search for the word ""methodNameHere"" in all the python files in the folder ""/mycool/project/"" and display color on the matched lines in the output","find /mycool/project/ -type f -name ""*.py"" -print0 | xargs -I {} -0 grep -H --color ""methodNameHere"" ""{}""" "search for the word ""mysql"" in all the files in the current containing the word ""notes"" in their name","find . -iname ""*notes*"" | xargs grep -i mysql" "search for the word ""mysql"" in all the files in the current containing the word ""notes"" in their name. print0 is used to handle files with newlines in their names or those which have only spaces in their names","find . -iname ""*notes*"" -print0 | xargs -I{} -0 grep -i mysql ""{}""" "search for the word ""nameserver"" in all the configuration files of the /etc folder","find /etc/ -type f -name ""*.conf"" -print0 | xargs -I {} -0 grep ""nameserver"" ""{}""" "search for the word ""nameserver"" in all the regular/normal files in the /etc directory and display the name of the file along with the matched line","find /etc/ -iname ""*"" -type f -print0 | xargs -0 grep -H ""nameserver""" "search for the word ""put"" in all the files in the current folder which have the word ""bills"" in their name and display the matched line along with the filename.","find . -name '*bills*' -exec grep -H ""put"" {} \;" "search for the word ""redeem reward"" in all the regular/normal files in the current folder",find . -type f -exec grep -i “redeem reward” {} \; -print "search for the word ""slrn"" in all the files in the current folder",find ./ -exec grep -q 'slrn' '{}' \; -print "search for the word ""slrn"" in all the files in the folder $HOME/html/andrews-corner",find $HOME/html/andrews-corner -exec grep -q 'slrn' '{}' \; -print search for the word bananas in the all the regular/normal files in the entire file system,find / -type f -exec grep bananas {} \; -print "Search history for ""part_of_the_command_i_still_remember_here""",history | grep 'part_of_the_command_i_still_remember_here' Search the home directory for files accessed more than 10 days ago,find ~/ -atime +10 "Search the home directory for files whose names begin with ""test""","find ~ -name ""test*"" -print" "Search the home directory tree for files last modified less than 2 days ago or newer than file ""filename""",find ~/ -mtime -2 -o newer filename Search the home directory tree for files matching pattern '*.txt',find ~ -name *.txt Search the home directory tree for files owned by sam,find /home -user sam Search the home directory tree for regular files modified yesterday,find ~ -daystart -type f -mtime 1 Search the home directory tree for video files,find ~ -type f -name '*.mkv' -o -name '*.mp4' -o -name '*.wmv' -o -name '*.flv' -o -name '*.webm' -o -name '*.mov' Search in the current directory and all sub-directories except ./D and any further sub-directories also named D for the file named hi.dat,$ find . \( -name D -prune \) -o -name hi.dat Search in the current directory and all sub-directories except ./D for the file named hi.dat,find -path ./D -prune -o -name hi.dat -print Search in the current directory and all sub-directories except ./D for the file named hi.dat.,find . \( -name D -prune \) -o -name hi.dat Search in current directory downwards all files which have not been accessed since last 7 days,find . -atime +7 -print search in current directory downwards all files which were accessed exactly 7 days back,find . -atime 7 -print Search in current directory downwards all files whose owner is aa1 and grop is grp .,find . \( -user aa1 - group grp \) -print Search in current directory downwards all files whose owner is aa1 or whose name is myfile .,find . \( -user aa1 -o -name myfile \) -print search in current directory downwards all files whose status has changed more then 7 days ago,find . -ctime +7 -print search in the current directory for any file named Chapter1.txt,find . -name Chapter1 -type f "search in the current folder for all the directories with the name ""test""",find . -type d -name test "search in the current folder for all the regular/normal file with the name ""test""",find . -type f -name test "search in the current folder for the file ""myletter.doc""",find . -name myletter.doc -print "search in the current folder for the file with the name ""test"" ( case insensitive search )",find . -iname test "search in the home folder for all the files with the name ""monfichier""",find /home/ -name monfichier search in root ( / ) directory downwards all files which have exactly 2 links.,find / -links 2 -print search in root ( / ) directory downwards all files which have less than 2 links.,find / -links -2 -print "Search level 3 of the current directory tree for the directories whose pathnames contain ""New Parts""","find -mindepth 3 -maxdepth 3 -type d | grep ""New Parts""" Searches the manual page names and descriptions by 'disk' keyword.,apropos disk "Searches manual pages which descriptions contain 'postscript', and prints name and description of only ones that contain any-cased 'png' pattern.",apropos postscript | grep -i png "Searches the manual pages with descriptions in section 3, that name begins with lowercase letter.",apropos -s 3 . | grep ^[a-z] search normal files called ' banckup ' from /usr directory downward and print them.,find /usr -type f -name backup -print "Search PATH for utilities called ""rename"", display the type of file (script, executable, ...) for each match found.",which -a rename | xargs file -L Search the path given as the $absolute_dir_path variable for regular files,"find ""$absolute_dir_path"" -type f -print0" "Search the regular files from directory tree 'directory_name' for ""word"" and print the names of the matched files",find directory_name -type f -print0 | xargs -0 grep -li word "Search the regular files from directory tree 'folder_name' for ""your_text""",find folder_name -type f -exec grep your_text {} \; "Search the regular files of the current directory tree for string ""stuff""","find . -type f -exec grep -n ""stuff"" {} \; -print" "Search the regular files of the current directory tree for string ""texthere""","find -type f -exec grep -Hn ""texthere"" {} +" Search the src/ directory recursively for .c and .h files,find src/ -name '*.[ch]' Search subdirectory `Linux' in the current directory for file `teste.tex',find -path './Linux/*' -name teste.tex Search the system for the file “testfile.txt”,"find / -name ""testfile.txt""" Search the system for the file 'myfile' ignoring permission denied errors,find . -name myfile |& grep -v 'Permission denied' Search the system for files and directories owned by user `admin',find / -user admin -print "Search the system for files whose names begin with letters 'a', 'b', or 'c'",find / -name '[a-c]*' "Search through the /usr directory for all files that begin with the letters Chapter, followed by anything else.","find /usr -name ""Chapter*"" -type f" searches through the /usr/local directory for files that end with the extension .html,"find /usr/local -name ""*.html"" -type f" search through only the /usr and /home directories for any file named Chapter1.txt,find /usr /home -name Chapter1.txt -type f "searches through the root filesystem (""/"") for the file named Chapter1.",find / -name Chapter1 -type f Search user1's home directory tree for *.bin files,find /home/user1 -name \*.bin "search the word ""MySearchStr"" in all the regular/normal files in the current folder and display the line number and the file name",find . -type f -print0 | xargs -0 -e grep -nH -e MySearchStr Search the xargstest/ directory recursively for files matching pattern 'file??',find xargstest/ -name 'file??' "Search the XML files from directories /res/values-en-rUS and /res/xml for string ""hovering_msg""","find /res/values-en-rUS /res/xml -iname '*.xml' -print0 | xargs -0 -d '\n' -- grep -i ""hovering_msg"" --" Search the ~ and `Music' directory trees for .mp3 files,find ~ Music -name '*.mp3' See all pages in section 3.,apropos -s 3 . See what files are executable by the file's owner and group,find -type f -perm -110 Select everything selected by * without descending into any directories,find * -maxdepth 0 "Send two ping requests to ""www.google.com""",ping -c 2 www.google.com "Send 4 ping requests to host ""google.comz"", displaying only the summary info after the last request completed.",ping -c 4 -q google.comz Send 5 ping requests to address 12.34.56.78 and print only the last 2 lines of the summary output.,ping -c 5 -q 12.34.56.78 | tail -n 2 "Send a single ping request with a TTL of 1 to ""192.168.1.1""",ping -c 1 -t 1 192.168.1.1 "Send an audible ping to ""10.100.3.104""",ping -a 10.100.3.104 "Send at most 3 ping requests to ""8.8.8.8"" with a timeout of 3 seconds on interface ""eth9""",ping 8.8.8.8 -I eth9 -c 3 -w 3 Sends current job to the background.,bg "Send one ping request to host whose name or IP address is specified by variable ""ip"", using network interface eth9.",ping ${ip} -I eth9 -c 1 "Send one ping request to host whose name or IP address is specified by variable ""remote_machine"".",ping -c 1 $remote_machine "Send one ping request to host with local address in the 10.0.0.x range, with last number specified by variable ""i"", waiting 1 second for a reply, and output only lines containing ""from"" to standard output.",ping -W 1 -c 1 10.0.0.$i | grep 'from' & Send one ping request to local machine.,ping -c 1 127.0.0.1 #ping your adress once "Send ping requests to ""yourhostname.local""",ping youhostname.local "Send ping requests to hostname specified by variable ""c"" for 1 second.",ping -w 1 $c Send reverse requests to get domain name for each address in 'my_ips' list,cat my_ips | xargs -i dig -x {} +short "Send SIGHUP (hangup) signal to nginx master process, causing it to re-read its configuration and restart child processes if necessary.",kill -HUP $( cat /var/run/nginx.pid ) "Send SIGKILL signal to process ID 16085, killing it instantly.",kill -9 16085 "Send SIGKILL signal to processes ID 18581, 18582, and 18583, killing them instantly.",kill -9 18581 18582 18583 Send SIGTERM signal to first process sent to background by the current shell.,kill %1 Send SIGTERM signal to last process sent to background by the current shell.,kill $! "Send SIGWINCH process to current shell, causing it to update the LINES and COLUMNS variables which describe the size of the screen/window in characters.",kill -s WINCH $$ Send TERM signal to process with id listed in '/var/run/DataBaseSynchronizerClient.pid' file,kill `cat /var/run/DataBaseSynchronizerClient.pid` Serach for all the files containing grep in man pages,find /usr/share/man/ -regex .*grep* Serach for all the files starting with grep in man pages,find /usr/share/man/ -regex grep.* Serach in current directory downwards all files which have not been modified since last 7 days,find . -mtime +7 -print Serach in root directory all files which have more than 2 links.,find / -links +2 -print Sets 'extglob' shell option.,shopt -s extglob Set 444 permission to all regular files under current directory,find . -type f -print | xargs chmod 444 Set 644 permission to all regular files under /path,find /path -type f -exec chmod 644 {} +; "set a crontab to create or update the timestamp of ""washere1"" in the current directory every minute.","echo ""* * * * * touch $(pwd)/washere1"" | crontab" "Set the environment variable ""DISPLAY"" to the system host name followed by "":0 skype""",DISPLAY=`hostname`:0 skype Set environment variables using assignments are listed in '.env' file and run 'rails' command with defined environment,env $(cat .env | xargs) rails "Set the executable bit for all users on all .sh scripts from directory trees lib, etc, debian","find lib etc debian -name ""*.sh"" -type f | xargs chmod +x" "Set the executable bit for all users on all regular files from directories arch/x86/usr/sbin, arch/x86/usr/X11R6/bin, usr/sbin/",find arch/x86/usr/sbin arch/x86/usr/X11R6/bin usr/sbin/ -type f | xargs chmod a+x "Set the group to ""username"" for all files with GID=1000 in the current directory tree",find -gid 1000 -exec chown -h :username {} \; "Set the host name to ""myServersHostname""",hostname myServersHostname "Set the host name to the contents of ""/etc/hostname""",hostname $(cat /etc/hostname) set MyVariable to the value of VARIABLE_NAME,myVariable=$(env | grep VARIABLE_NAME | grep -oe '[^=]*$'); Set permissions for all direcotries under /var/www to 755,find /var/www -type d -print0 | xargs -0 chmod 755 Set permissions for all regular files under /var/www to 755,find /var/www -type f -print0 | xargs -0 chmod 644 Set permissions for directories in `foldername' and its subdirectories to 755,"find foldername -type d -exec chmod 755 {} "";""" Set permissions for files in `foldername' to 777,"find foldername -exec chmod a+rwx {} "";""" "Set permission of ""file"" to read only for the owner",chmod 600 file "Set permissions of all directories under ""/opt/lampp/htdocs"" to 711",find /opt/lampp/htdocs -type d -exec chmod 711 {} \; "Set permissions of all directories under ""/opt/lampp/htdocs"" to 755",find /opt/lampp/htdocs -type d -exec chmod 755 {} \; "Set permission of all files in ""img"", ""js"", and ""html"" to 644",chmod 644 img/* js/* html/* "Set permissions of all files under ""/opt/lampp/htdocs"" to 644",find /opt/lampp/htdocs -type f -exec chmod 644 {} \; "Set permissions of command ""node"" to 755",sudo chmod 755 $(which node) Set permissions to 2770 for all directories in the current directory tree,find . -type d -exec chmod 2770 {} + Set permissions to 660 for all regular files in the current directory tree,find . -type f -exec chmod 0660 {} + Set permissions to 700 for every subdirectory of the current directory,find . -mindepth 1 -type d -print0 | xargs -0 chmod -R 700 Set permissions to 755 for every subdirectory of the current directory,find . -type d -mindepth 1 -print -exec chmod 755 {}/* \; "Set permissions to ug=rw,o= for files under the $d directory tree","find $d -type f -exec chmod ug=rw,o= '{}' \;" "Set permissions to ug=rwx,o= for directories inside the ./default/files tree","find ./default/files -type d -exec chmod ug=rwx,o= '{}' \;" Set prompt to the system host name and history number,"PS1=""`hostname`:\!>""" "Set read, write and execute permission for all (owner, group, other) for the files/directories in foldername directory tree","sudo find foldername -exec chmod a+rwx {} "";""" "Set the setgid bit on all directories in the repository ""/git/our_repos""",find /git/our_repos -type d -exec chmod g+s {} + Set shell option 'checkwinsize'.,shopt -s checkwinsize Sets shell options 'extglob' and 'nullglob'.,shopt -s nullglob extglob "Sets shell options 'globstar', 'dotglob' and 'nullglob'.",shopt -s globstar nullglob dotglob Sets shell options 'globstar' and 'nullglob'.,shopt -s globstar nullglob Set shell option 'histverify'.,shopt -s histverify Sets shell option 'nounset'.,shopt -s -o nounset Set the system date to Sat May 11 06:00:00 IDT 2013,"sudo date --set=""Sat May 11 06:00:00 IDT 2013""" Set timestamp of all PHP files in current directory to date specified.,touch -d '30 August 2013' *.php Set the timestamp of B to the same one as A,touch -r A B "Set timestamp of B to the timestamp in stat format specified by variable ""old_time""","touch -d""$(date --date=""@$old_time"")"" B" Set trace prompt to print seconds.nanoseconds,"PS4='+ $(date ""+%s.%N"")\011 '" Set up a local SSH tunnel from port 80 to port 3000,ssh $USERNAME@localhost -L 80:localhost:3000 -N "Set up a remote port forward from port 10022 on host ""server"" to port 22 on localhost",ssh -R 10022:localhost:22 device@server "Set up local port forwards in the background with no terminal or command execution from port 4431 to host ""www1"" port 443 and port 4432 to host ""www2"" port 443 via the host ""colocatedserver""",ssh -fNT -L4431:www1:443 -L4432:www2:443 colocatedserver "Set up SSH connection forwarding in the background with no terminal or command execution from localhost port 8888 to ""proxyhost"" port 8888 and a reverse connection from ""officefirewall"" port 22222 to ""localhost"" port 22",ssh -fNT -L8888:proxyhost:8888 -R22222:localhost:22 officefirewall "Set the value of ""to_sort"" to ""$1"" in a subshell which no longer exists after the pipeline completes","echo ""$1""| read -a to_sort" "Set variable ""architecture"" to machine architecture, ie. x86_64","architecture=""$(uname -m)""" "Set variable ""extract_dir"" to list of top-level directories and files contained in tar archive specified by variable FILE.",extract_dir=$(tar -tf $FILE | cut -d/ -f1 | uniq) "Set variable ""filename"" to only the name of document specified by URL, in this case ""pic.jpg""","filename=""`basename ""http://pics.sitename.com/images/191211/pic.jpg""`""" "Set variable ""fname"" to the basename of path specified in variable ""f"", that is remove everything up to the last slash if present.",fname=`basename $f` "Set the variable ""me"" to the name of the running script.","me=`basename ""$0""`" "Set the variable ""me"" to the name of the running script, or shell, login shells have a hyphen appended to the beginning of the name, such as ""-bash"".","me=`basename -- ""$0""`" "set variable ""uname_m"" to machine architecture, ie. x86_64",uname_m=`uname -m` "Set variable 'file' to the base name of first argument to script or function, that is the part following the last slash.","file=$( basename ""$1"" )" Set variable 'path' to name of current directory (without the containing directories).,path=$(basename $(pwd)) Set variable 'path' to name of current directory (without the containing directories) converted to lowercase.,path=$(basename $(pwd) | tr 'A-Z' 'a-z' ) Set variable 'rav' to the contents of 'var' spelled backwards.,rav=$(echo $var | rev) "Set variable BZIP2_CMD to the full path of command ""bzip2""",BZIP2_CMD=`which bzip2` "Set variable GZIP to the full path of command ""gzip""","GZIP=""$(which gzip)""" "Set variable OS to the name of the operating system, ie. ""Linux""",OS=$(uname -s) (GNU specific) Set variable OUTPUT to full process info of process currently taking the most CPU time.,OUTPUT=`top -b -n 1 | tail -n +8 | head -n 1` Set variable PacketLoss to first digit of percentage of packet loss occurring when pinging host specified by TestIP,"PacketLoss=$(ping ""$TestIP"" -c 2 | grep -Eo ""[0-9]+% packet loss"" | grep -Eo ""^[0-9]"")" "Set variable PING to 1 if it's possible to ping host ADDRESS, to 0 otherwise.",PING=$(ping ADDRESS -c 1 | grep -E -o '[0-9]+ received' | cut -f1 -d' ') "set variable r to currently running kernel release, ie. 4.4.0-81-generic","r=""$(uname -r)""" Set variable value to current kernel release name.,value=$(uname -r) "show all the "".flac"" files in the current folder and do not search in the sub directories",find . -maxdepth 1 -type f -name '*.flac' "show all .cpp, .c, .h, .hpp files in the folder ~/src",find ~/src -type f \( -iname '*.cpp' -or -iname '*.h' -or -iname '*.c' -or -iname '*.hpp' \) -exec echo {} \; "show all directories in the current folder excluding those that are present in the sub directories of media, images and backups",find . -type d \( -name media -o -name images -o -name backups \) -prune -o -print "show all directories in the current folder excluding those that are present only in the paths ./media, ./images and ./backups",find . -path './media' -prune -o -path './images' -prune -o -path './backups' -prune -o -print Show all files in /etc that are owned by root have been modified within the last minute,find /etc/ -user root -mtime 1 show all the files in the folder /etc which have been modified in the last 24 hours,find /etc -mtime -1 Show all files that have not been accessed in the $HOME directory for 30 days or more,find $HOME -atime +30 "Show all running processes with a name matching ""postgres""",ps -ef | grep postgres "Show all variables whose name or value contains ""PATH"", sorted in reverse alphabetical order.",env | uniq | sort -r | grep PATH Show the current UTC date in '%Y-%m-%dT%k:%M:%S%z' format,date -u '+%Y-%m-%dT%k:%M:%S%z' Show the date in default format for tomorrow + 2 days + 10 minutes,date -d tomorrow+2days-10minutes Show directory sizes in KB and sort to give the largest at the end,du -sk $(find . -type d) | sort -n -k 1 show the disk use of all the regular/normal files in the current folder which are bigger than 50MB,find . -type f -size +50000k | xargs du -sh show the disk use of all the regular/normal files in the file system which are bigger than 100MB,find / -type f -size +100M | xargs du -sh Show the epoch in default date/time format,date -ud@0 Show the explanation of find's debugging options,find -D help Show file type information for all regular files under '/home' directory tree,find /home -type f -exec file {} \; "Show filename and filetype description of all PHP files in all directories contained in current directory whose name or filetype description includes ""UTF""",file */*.php | grep UTF "Show filename and filetype description of all PHP files in current directory whose name or filetype description includes ""UTF""",file *.php | grep UTF "Show human-readable file type description of file ""/mnt/c/BOOT.INI""",file /mnt/c/BOOT.INI show the list of all the files in the current folder which have been modified within the 24 hours,find . -mtime 0 -print Show the list of files larger than 100 MB,find / -size +100M -print Show the list of files modified less than a minute ago,find / -mmin -1 -print Show the list of files modified more than 31 days ago,find / -mtime +31 -print Show long listing of current directory by deleting all digits from the output,ls -lt | tr -d 0-9 "Show ls's detailed output for all files named ""something""",find . -name something -exec ls -l {} \; Shows MAC address of network interface eth0.,ifconfig eth0 | grep HWaddr |cut -dH -f2|cut -d\ -f2 Show the number of lines for each .php and .phtml file in the current directory tree,"find . -type f \( -name ""*.php"" -o -name ""*.phtml"" \) -exec wc -l {} +;" Shows only process trees rooted at processes of this user.,pstree user Shows size of compressed file in .bz2 archive.,bunzip2 -c bigFile.bz2 | wc -c Shows state of 'extglob' shell option.,shopt -o extglob Shows state of 'globstar' shell option.,shopt globstar Shows state of shell option 'extglob'.,shopt extglob Shows status of a shell option 'compat31'.,shopt compat31 Shows status of a shell option 'dotglob'.,shopt dotglob Shows status of a shell option 'nullglob'.,shopt nullglob Shows strings that NOT match regex '^($|\s*#|\s*[[:alnum:]_]+=)',"echo ""${line}"" | egrep --invert-match '^($|\s*#|\s*[[:alnum:]_]+=)'" Show the subdirectories of the current directory,find . -maxdepth 1 -type d -print | xargs -I {} echo Directory: {} "Show system information: kernel name, hostname, kernel release and version, machine architecture, processor type, hardware platform, and operating system type.",uname -a "Show the value of variable ""list"", discarding consecutive duplicates and adding number of occurrences at the beginning of each line.","echo ""$list"" | uniq -c" Show version information of the find utility,find -version Show who is logged on,who "Silently read a line from standard input into variable ""REPLY"" without backslash escapes and using the prompt $'Press enter to continue...\n'",read -rsp $'Press enter to continue...\n' "Silently read a line into variable ""passwd"" with prompt ""Enter your password: ""","read -s -p ""Enter your password: "" passwd" "Silently read a single character from standard input into variable ""key"" without backslash escapes and using the prompt $'Press any key to continue...\n'",read -rsp $'Press any key to continue...\n' -n 1 key "Silently read exactly 1 character ignoring any delimiters into variable ""SELECT""",read -s -N 1 SELECT Silently read standard input until the escape key is pressed ignoring backslash escapes and using the prompt $'Press escape to continue...\n',read -rsp $'Press escape to continue...\n' -d $'\e' simulate a full login of user root,su - sleep for 1 second,sleep 1 sleep for 10 seconds,sleep 10 sleep for 5 seconds,sleep 5 sleep for 500 seconds,sleep 500 "Sort ""$file"" and output the result to ""$file""",sort -o $file $file "Sort "","" delimited lines in ""file"" by the first field preserving only unique lines","sort -u -t, -k1,1 file" "Sort "":"" delimited lines in ""test.txt"" by the first and third field preserving only unique lines","sort -u -t : -k 1,1 -k 3,3 test.txt" "Sort ""file"" using a buffer with a size 50% of main memory",sort -S 50% file "Sort ""some_data"" by the first and second "";"" delimited entries and stabilizing the sort","sort -k1,1 -k2,2 -t';' --stable some_data" "Sort ""some_data"" by the first and second "";"" delimited entries, outputing unique lines and stabilizing the sort","sort -k1,1 -k2,2 -t';' --stable --unique some_data" Sort a file 'file' preserving only unique lines and change the file in-place,sort -u -o file !#$ Sort all directory names matching folder_* and go to the last one.,"cd $(find . -maxdepth 1 -type d -name ""folder_*"" | sort -t_ -k2 -n -r | head -1)" Sort all directories under current directory placing the file with least modification time at first,find -type d -printf '%T+ %p\n' | sort "Sort and compare files ""$def.out"" and ""$def-new.out""",diff <(sort $def.out) <(sort $def-new.out) sort and display top 11 files along with the last access date for all the files in the file system ( sort based on the timestamp ),"find / -type f -printf ""\n%AD %AT %p"" | head -n 11 | sort -k1.8n -k1.1nr -k1" sort and display the unique lines display the contents of all the files that have been modified in the last 91 days and not in the last 2 days,"find . -name ""*.txt"" -type f -daystart -mtime -91 -mtime +2 | xargs cat | sort | uniq" "Sort and print each unique line in ""myfile.txt""",cat myfile.txt| sort| uniq "Sort and remove duplicate lines in the output of ""finger""",finger | sort -u sort based on size and display top ten largest normal/regular files in the current folder,find . -type f -exec ls -s {} \; | sort -n -r | head -10 Sorts content of the $tmp file and filters out all strings with ':0'.,sort $tmp | grep -v ':0' #... handle as required "Sort the contents of file ""ips.txt"", eliminate duplicate entries, and prefix each entry with number of occurrences.",sort ips.txt | uniq -c "sort each file in the bills directory, leaving the output in that file name with .sorted appended",find bills -type f -execdir sort -o '{}.sorted' '{}' ';' "Sort file ""file"" by line",sort file -o !#^ "Sort file ""foo.txt"" by line to standard output",sort foo.txt "Sort file pointed by variable $filename, removing duplicate entries but ignoring the last N characters of each line.",rev $filename | sort | uniq -f=N | rev Sort file.txt ignoring the last 10 characters of each line.,sort file.txt | rev | uniq -f 10 | rev Sort file1 and file2 then display differences between them.,diff <(sort file1 -u) <(sort file2 -u) "Sort lines in ""FILE"" to standard output preserving only unique lines",sort -u FILE "Sort lines in ""set1"" and ""set2"" to standard output preserving only unique lines",sort -u set1 set2 "Sort the lines of the file 'inputfile', keep only the uniq lines and change it in-place",sort inputfile | uniq | sort -o inputfile Sort the lines of the file 'temp.txt' and change it in-place,sort temp.txt -o temp.txt "Sort numerically and compare files ""ruby.test"" and ""sort.test""",diff <(sort -n ruby.test) <(sort -n sort.test) Sort standard input in alphabetical order,sort Sort strings of 'test.txt' file by second from the end field,rev test.txt | sort -k2 | rev "Sort tab separated file ""file"" using a version sort for field 6 and a numeric sort for field 7",sort -t$'\t' -k6V -k7n file "Split ""$1"" into files of at most ""$2"" or default 10000 using a numeric suffix of length 6","split -l ${2:-10000} -d -a 6 ""$1""" "Split ""$1"" into files of at most ""$2"" or default 10000 using a numeric suffix of length 6 and suffix ""${tdir}/x""","split -l ${2:-10000} -d -a 6 ""$1"" ""${tdir}/x""" "Split ""$FILENAME"" into files with at most 20 lines each with a prefix ""xyz""",split -l 20 $FILENAME xyz "Split ""$INFILE"" into files of at most ""$SPLITLIMT"" with a numeric suffix and a prefix ""x_""",split -d -l $SPLITLIMT $INFILE x_ "Split ""$ORIGINAL_FILE"" into files of at most ""$MAX_LINES_PER_CHUNK"" lines each with a prefix ""$CHUNK_FILE_PREFIX""",split -l $MAX_LINES_PER_CHUNK $ORIGINAL_FILE $CHUNK_FILE_PREFIX "Split ""$SOURCE_FILE"" into files of at most 100 lines each","split -l 100 ""$SOURCE_FILE""" "Split ""$file"" into files with at most 1000 lines each and use a prefix length of 5",split -a 5 $file "Split ""${fspec}"" into 6 files with about equal number of lines each and use prefix ""xyzzy.""",split --number=l/6 ${fspec} xyzzy. "Split ""/etc/gconf/schemas/gnome-terminal.schemas"" into 1000000 files of about equal size",split -n 1000000 /etc/gconf/schemas/gnome-terminal.schemas "Split ""/path/to/large/file"" into files with at most 50000 lines and use prefix ""/path/to/output/file/prefix""",split --lines=50000 /path/to/large/file /path/to/output/file/prefix "Split ""/tmp/files"" into files of at most 1000 lines each",split /tmp/files "Split ""/usr/bin/cat"" into 10000 files of about equal size",split -n 10000 /usr/bin/cat "Split ""/usr/bin/firefox"" into 1000 files of about equal size",split -n 1000 /usr/bin/firefox "Split ""/usr/bin/gcc"" into 100000 files of about equal size",split -n 100000 /usr/bin/gcc "Split ""ADDRESSS_FILE"" into files containing at most 20 lines and prefix ""temp_file_""",split -l20 ADDRESSS_FILE temp_file_ "Split ""INPUT_FILE_NAME"" into files of at most 500 MiB each with a numeric suffix of length 4 and prefix ""input.part.""",split -b 500M -d -a 4 INPUT_FILE_NAME input.part. "Split ""bigfile"" into files of at most 1000 lines each with prefix ""/lots/of/little/files/here""",split bigfile /lots/of/little/files/here "Split ""complete.out"" into files with at most ""$lines_per_file"" lines each",split --lines $lines_per_file complete.out "Split ""data.tsv"" into files of at most 100 MiB preserving lines and use a prefix of ""data.tsv."" and numeric suffixes",split -C 100m -d data.tsv data.tsv. "Split ""data.tsv"" into files of at most 5000000 lines each with prefix ""_tmp""",split -l5000000 data.tsv '_tmp'; "Split ""database.sql"" into files of at most 100000 lines each with prefix ""database-""",split -l 100000 database.sql database- "Split ""date.csv"" into files with at most 100 lines each",split -l 100 date.csv "Split ""file.txt"" excluding the first line into files of at most 4 lines each and with a prefix ""split_""",tail -n +2 file.txt | split -l 4 - split_ "Split ""file.txt"" excluding the first line into files with at most 20 lines each and a prefix ""split_""",tail -n +2 file.txt | split -l 20 - split_ "Split ""file.txt"" into files of at most 1 MiB in size with a numeric suffix, prefix ""file"", and additional suffix "".txt""",split -b 1M -d file.txt file --additional-suffix=.txt "Split ""file.txt"" into files of at most 20 lines each with a prefix ""new""",split -l 20 file.txt new "Split ""foo.txt"" into files with 1 line each and use a suffix length of 5",split --suffix-length=5 --lines=1 foo.txt "Split ""hugefile.txt"" into files with 100000 lines each starting with ""part."" and using numeric suffixes",split -a4 -d -l100000 hugefile.txt part. "Split ""infile"" into 2 files of about equal size",split -n2 infile "Split ""input.txt"" into files of at most 10 bytes each with prefix ""/tmp/split-file""",split -b 10 input.txt /tmp/split-file "Split ""input.txt"" into files of at most 10 bytes each with prefix ""xxx/split-file""",split -b 10 input.txt xxx/split-file "Split ""input.txt"" into files with 1 line each and use a prefix ""output."" and a suffix length of 5",split --lines=1 --suffix-length=5 input.txt output. "Split ""input_file"" into files of at most 100 lines each with prefix ""output_file""",split -l 100 input_file output_file "Split ""list.txt"" into files with at most 600 lines each",split -l 600 list.txt "Split ""mybigfile.txt"" into files of at most 200000 lines each",split -l 200000 mybigfile.txt "Split ""randn20M.csv"" into files of at most 5000000 lines each with prefix ""_tmp""",split -l5000000 randn20M.csv '_tmp'; "Split ""system.log"" into files of at most 10 MiB in size with a numeric suffix and prefix ""system_split.log""",split -b 10M -d system.log system_split.log "Split ""t.txt"" into files with at most 30000000 lines each and use a prefix ""t"" and numeric suffixes of length 2",split --lines=30000000 --numeric-suffixes --suffix-length=2 t.txt t "Split ""your_file"" into files with at most 9 lines each",split -l9 your_file "Split a file ""file.tar.gz"" into pieces with size 1024 MB",split -b 1024m file.tar.gz "Split all files in the directory tree ""/dev/shm/split/"" into files of at most 1000 lines each and use the filename as the prefix",find /dev/shm/split/ -type f -exec split -l 1000 {} {} \; "split compressed content of the directory /home into pieces per 4000 mb named as ""/media/DRIVENAME/BACKUPNAME.tgz.NNN""",tar --one-file-system -czv /home | split -b 4000m - /media/DRIVENAME/BACKUPNAME.tgz "Split the contents of all "".txt"" excluding the first 1000 lines into files of at most 1000 lines each",cat *.txt | tail -n +1001 | split --lines=1000 "split listing of the current directory into pieces per 500 lines named ""outputXYZNNN""",ls | split -l 500 - outputXYZ. "Split the sorted and unique lines in files ""emails_*.txt"" into files with at most 200 lines each with numeric suffixes of length 4",sort --unique emails_*.txt | split --numeric-suffixes --lines=200 --suffix-length=4 --verbose Split standard input into files of at most 1000 lines each,split Split standard input into files of at most 3400000 lines each,split -l 3400000 Split standard input into files with at most 75 lines each,split --lines=75 SSH in server 'server' as user 'user' with X11 forwarding disabled,ssh -x user@server "SSH into ""$NAME"" as user ""${USERNAME}"" using key file ""${KEYDIR}/${KEY}.pem"", automatically add the host to list of known hosts and execute ""${COMMANDS}""","ssh -o ""StrictHostKeyChecking no"" -i ${KEYDIR}/${KEY}.pem ${USERNAME}@$NAME ""${COMMANDS}""" "SSH into ""localhost"" with forced pseudo-terminal allocation, execute ""$heredoc"", and save the output to variable ""REL_DIR""","REL_DIR=""$(ssh -t localhost ""$heredoc"")""" "SSH into ""myhost.com"" as user ""myname"" with a check every 60 seconds that the server is still alive",ssh -o ServerAliveInterval=60 myname@myhost.com "ssh into default vagrant host without running ""vagrant ssh"" by passing the configuration parameters vagrant uses for ssh",ssh vagrant@127.0.0.1 -p 2222 -o Compression=yes -o DSAAuthentication=yes -o LogLevel=FATAL -o StrictHostKeyChecking=no -o UserKnownHostsFile=/dev/null -o IdentitiesOnly=yes -i ~/.vagrant.d/less_insecure_private_key -o ForwardAgent=yes "SSH into host ""$1"" using key file ""/path/to/ssh/secret/key"" and execute command ""$2""",ssh -i /path/to/ssh/secret/key $1 $2 "SSH into host ""server"" as user ""user""",ssh user@server ssh into localhost on port 4444,ssh -p 4444 localhost "SSH into server ""app1"" as the current user",ssh app1 "SSH into server ""server.com"" as user ""remote_user""",ssh remote_user@server.com SSH login in 'middle.example.org' via port 2222 as user 'deviceuser' using a private key file './device_id.pem',ssh -i ./device_id.pem deviceuser@middle.example.org:2222 "SSH using parameters in $@ in master mode in the background without executing any commands and set the ControlPath to ""$MASTERSOCK""","ssh -o ControlPath=""$MASTERSOCK"" -MNf ""$@""" "SSH with parameters specified in ""$@"" using key file ""~/.ssh/gitkey_rsa""","ssh -i ~/.ssh/gitkey_rsa ""$@""" Start 'top' to monitor all processes with the default settings.,top start 2 sleep processes in the background,sleep 100 | sleep 200 & "start from current directory, skip the directory src/emacs and print it then skip all files and directories under it, and print the names of the other files found","find . -wholename './src/emacs' -prune , -print" "Store content of uncompressed file ""$file.fastq"" in variable ""reads""",reads=$(zcat $file.fastq) Store N symbols of input into variable 'buffer',read -N $BUFSIZE buffer "Strips two last sections from the path $pathname, and prints basename of the rest part.",echo $(basename $(dirname $(dirname $pathname))) Strip all '\' and newlines from $output and save the result to variable 'output',"output=$(echo ""$output"" | tr -d '\' | tr -d '\n')" "Suffix all files and folders in the current directory with ""_SUF""",ls | xargs -I {} mv {} {}_SUF switch to user username,su username "Synchronize ""/home/user1/"" to ""wobgalaxy02:/home/user1/"" including hidden files",rsync -av /home/user1/ wobgalaxy02:/home/user1/ "Synchronize ""/path/to/dir_a"" with files in ""/path/to/dir_b/"" if the files are newer",rsync -rtuv /path/to/dir_b/* /path/to/dir_a "Synchronize ""/path/to/dir_b"" with files in ""/path/to/dir_a/"" if the files are newer",rsync -rtuv /path/to/dir_a/* /path/to/dir_b "Synchronize ""xxx-files"" to ""different-stuff/xxx-files"" recursively preserving metadata with a bandwidth limit of 2000 KiB/s",rsync -pogtEtvr --progress --bwlimit=2000 xxx-files different-stuff Take a file path from standard input and remove it.,xargs -I '{}' rm '{}' Take first text field from file 'file.txt' as a domain name and get short A record for this one.,cut -d' ' -f1 file.txt | xargs dig +short "Take the last slash-separated section of variable ""FILE"" and copy it to variable ""NAME"".","NAME=`basename ""$FILE""`" "Takes path list from '.exportfiles.text' file, cuts off first two path segments and last one.",cut -d / -f 4- .exportfiles.text | xargs -n 1 dirname "Take the section of variable ""FILE"" between the last slash and the following dot, if any, and store that section in variable ""NAME"".","NAME=`basename ""$FILE"" | cut -d'.' -f-1`" tar all files in the current folder and ask for user confirmation before creating the tar ball,find . -ok tar rvf backup {} \; Test if a file named 'file' in the current directory is more than 1 hour old,find file -chour +1 -exit 0 -o -exit 1 Time stamp every ping request to 8.8.8.8 in Unix epoch format,ping -D -n -O -i1 -W1 8.8.8.8 "Traverse the filesystem just once, listing setuid files and directories into /root/suid.txt and large files into /root/big.txt.","find / \( -perm -4000 -fprintf /root/suid.txt '%#m %u %p\n' \) , \ \( -size +100M -fprintf /root/big.txt '%-10s %p\n' \)" "Treat each line of ""list-of-entries.txt"" as a value to be put in one cell of the table that ""column"" outputs",column list-of-entries.txt "Try to determine the type of contents in ""myfile"" located in user's home directory.",file ~/myfile Turns on network interface eth0.,ifconfig eth0 up Type unique list of all directories contiaining each file named 'myfile' under the /home directory,"find /home/ -name 'myfile' -type f | rev | cut -d ""/"" -f2- | rev | sort -u" unsafed rm all file which name start with '#',find / -name '#*' -atime +7 -print | xargs rm Unsets 'history' shell option.,shopt -u -o history "Unset the executable bit of all regular files from directory trees arch, etc, lib, module, usr, xpic",find arch etc lib module usr xpic -type f | xargs chmod -x Unsets shell option 'extglob'.,shopt -u extglob "Unzip ""bigfile.txt.gz"" to standard output, search for patterns in ""patterns.txt"", and list the unique matches",gunzip -c bigfile.txt.gz | grep -f patterns.txt | sort | uniq -c "Unzip ""daily_backup.sql.gz"" and search for lines matching ""'x'|/x/""","zcat daily_backup.sql.gz| grep -E ""'x'|/x/""" "Unzip ""file.gz"", list the unique first comma separated field prefixed by the number of occurrences, sort from least frequent to most frequent","zcat file.gz | cut -f1 -d, | sort | uniq -c | sort -n" "Unzip ""file.gz"", list the unique lines matching regex pattern '""searchstring"":""[^""]*""' prefixed by the number of occurrences, sort from least frequent to most frequent","zcat file.gz | grep -o '""searchstring"":""[^""]*""'| sort | uniq -c | sort -n" "Unzip ""file.gz"" to standard output and execute in bash with arguments ""-n wordpress""","gzip -d --stdout file.gz | bash -s -- ""-n wordpress localhost""" "Unzip ""file.gz"" to stdout",zcat file.gz "Unzip all "".gz"" files in the current directory tree excluding files containing ""dvportgroups"", ""nsanity"", ""vcsupport"", ""viclient"", and ""vsantraces""",find . -name '*.gz' ! -name '*dvportgroups*' ! -name '*nsanity*' ! -name '*vcsupport*' ! -name '*viclient*' ! -name 'vsantraces*' -exec gunzip -vf {} \; "Unzip all files matching ""/homes/ndeklein/mzml/*.gz""",ls /homes/ndeklein/mzml/*.gz | xargs -I {} gunzip {} "Unzip all files matching ""test1/*/*.gz""",gunzip test1/*/*.gz "Unzip and expand tar archive ""compressFileName""",zcat compressFileName | tar xvf - "Unzip and merge all ""small-*.gz"" files into files of 2000000 lines",zcat small-*.gz | split -d -l2000000 -a 3 - large_ unzip and search for a word in all the jar files in the current folder and display the matched file name,"find . -iname '*.jar' -printf ""unzip -c %p | grep -q '' && echo %p\n"" | sh" "Unzip and untar ""4.56_release.tar.gz"" to standard output",gunzip -c 4.56_release.tar.gz | tar xvf - "Unzip and untar ""file.tar.gz""",zcat file.tar.gz |tar x "Unzip and untar ""myarchive.tar.gz"" and check for corruption",gunzip -c myarchive.tar.gz | tar -tvf - "Unzip and untar ""openssl-fips-2.0.1.tar.gz""",gunzip -c openssl-fips-2.0.1.tar.gz | tar xf ­- "Ungzip and untar all files matching ""www-backup.tar.*""",cat www-backup.tar.*|gunzip -c |tar xvf - Update the archive '2009.tar' with the files from the data/ directory tree that match pattern 'filepattern-*2009*',find data/ -name filepattern-*2009* -exec tar uf 2009.tar {} ; "Update the archived copy of the home directory, ""alldata.tar""",find ~/ -newer alldata.tar -exec tar uvf alldata.tar {} ; update the permission of all the files in the folder /u/netinst to 500,find /u/netinst -print | xargs chmod 500 update the permission of all the php files in current directory and save the output to a file,find . -name '*.php' -exec chmod 755 {} \; | tee logfile.txt "Update the timestamp of 'filename', or create an empty file if it doesn't exist.",touch filename Update timestamps of all files and directories under current directory.,find . -print0 | xargs -0 touch Update timestamps of all files and directories under directory /path/to/dir.,find /path/to/dir -print0 | xargs -0 touch Update timestamps of all files in entire filesystem which are not newer than /tmp/timestamp,find / ! -newer /tmp/timestamp -exec touch {} \; Update timestamps of all files (not directories) under current directory.,find . -exec touch {} \; Update timestamps of all files (not directories) under current directory. Also works on older Unix systems with obsolete 'find' command.,find . -print -exec touch {} \; "Update timestamps of all regular files (ie. excluding directories, symlinks, sockets, etc.) under /your/dir",find /your/dir -type f -exec touch {} + "Use ""$BYTES"" amount of RAM for ""$SECONDS"" seconds with no output",cat <(yes | tr \\n x | head -c $BYTES) <(sleep $SECONDS) | grep n "Use ""$BYTES"" amount of RAM with no output",yes | tr \\n x | head -c $BYTES | grep n "Use ""/var/log/wtmp"" and print IPs and search for ""^msw.*127.0.0.1""",who --ips /var/log/wtmp | grep '^msw.*127.0.0.1' "Use ""vagrant-ssh"" as the config file and ssh into ""default"" host",ssh -F vagrant-ssh default using exec in find command to dispaly the searched files,find . ... -exec cat {} \; -exec echo \; "Variable PID contains a process ID, check if this process exists - resulting exit status from this command will be zero if it does and current user has permission to send it signals.",kill -0 $PID "Verbosely change ownership of ""$file"" to ""root""","chown -v root ""$file""" Verbosely compresses all files on fifth and sixth depth level keeping original files in place.,bzip2 -kv */*/*/*/*/* Verbosely compresses all files on fourth and fifth depth level keeping original files in place.,bzip2 -kv */*/*/*/* Verbosely compresses all files on sixth and seventh depth level keeping original files in place.,bzip2 -kv */*/*/*/*/*/* Verbosely compresses all files on third and fourth depth level keeping original files in place.,bzip2 -kv */*/* View lines 116 through 120 of history,history | head -n 120 | tail -n 5 "View line 500 to line 500 + 501 in gzipped file ""bigfile.z""",zcat bigfile.z | tail -n +500 | head -501 Views text content of compressed FileB file in TarFile archive.,tar -xOf TarFile FileB.gz | zless "wait 2 seconds and then print ""hello""","echo ""hello `sleep 2 &`""" This will remove all files (type f) modified longer than 14 days ago under /root/Maildir/ recursively from there and deeper (mindepth 1,find /root/Maildir/ -mindepth 1 -type f -mtime +14 | xargs rm "Wrap each line in ""file"" to fit in 80 characters and count the number of lines",fold file | wc -l "Wrap each line in ""file.txt"" to fit in 80 characters",cat file.txt | fold "Wrap each line in ""longline"" to a maximum of 30 characters",fold -w30 longline "Wrap each line in ""longline"" to a maximum of 30 characters breaking at spaces",fold -w30 -s longline Wrap standard input to fit in 10 characters per line,fold -w 10 "Write ""127.0.0.1 ad.doubleclick.net"" to the console and append to ""/etc/hosts"" as root",echo 127.0.0.1 ad.doubleclick.net | sudo tee -a /etc/hosts "Write ""2-1"" to standard output and to ""/sys/bus/usb/drivers/usb/unbind""",echo '2-1' |sudo tee /sys/bus/usb/drivers/usb/unbind "Write ""2-1.1.1"" to standard output and to file ""/sys/bus/usb/drivers/usb/unbind""",echo '2-1.1.1'|sudo tee /sys/bus/usb/drivers/usb/unbind "Write ""Australia/Adelaide"" to standard output and to ""/etc/timezone""","echo ""Australia/Adelaide"" | sudo tee /etc/timezone" "Write ""Hello, world"" to standard output and to ""/tmp/outfile""","echo ""Hello, world"" | tee /tmp/outfile" "Write ""Some console and log file message"" to standard output and ""/dev/fd/3""","echo ""Some console and log file message"" | tee /dev/fd/3" "Write ""[some repository]"" to standard output and append to ""/etc/apt/sources.list"" as root","echo ""[some repository]"" | sudo tee -a /etc/apt/sources.list" "Write ""\n/usr/local/boost_1_54_0/stage/lib"" to standard output and append to ""/etc/ld.so.conf""","echo -e ""\n/usr/local/boost_1_54_0/stage/lib"" | sudo tee -a /etc/ld.so.conf" "Write ""deb blah ... blah"" to standard output and append to ""/etc/apt/sources.list"" as root",echo 'deb blah ... blah' | sudo tee --append /etc/apt/sources.list "Write ""deb http://downloads-distro.mongodb.org/repo/ubuntu-upstart dist 10gen"" to standard output and append to ""/etc/apt/sources.list.d/10gen.list"" as root","sudo echo ""deb http://downloads-distro.mongodb.org/repo/ubuntu-upstart dist 10gen"" | sudo tee -a /etc/apt/sources.list.d/10gen.list" "Write ""error"" to standard output","echo ""error"" | tee" "Write ""fifo forever"" infinitely using the named pipe ""fifo"" by writing its contents to standard output and to ""fifo""","echo ""fifo forever"" | cat - fifo | tee fifo" "Write ""foo"" to the real path of the current command's standard input",echo foo | readlink /proc/self/fd/1 "Write ""foo"" to the real path of the current command's standard output",echo foo | readlink /proc/self/fd/0 "Write ""hello world"" to the console and print number of bytes, symbols and strings in provided input.","echo ""hello world"" | tee >(wc)" "Write ""suspend"" to standard output and to file ""/sys/bus/usb/devices/usb3/power/level""",echo suspend | sudo tee /sys/bus/usb/devices/usb3/power/level "Write '""myname=""Test""' to the console and append to ""$CONFIG"" as root","echo ""myname=\""Test\"""" | sudo tee --append $CONFIG" Write a random list of numbers to /tmp/lst and stdout.,seq 1 10 | sort -R | tee /tmp/lst |cat <(cat /tmp/lst) <(echo '-------') **...** "Write the common third space separated fields in ""file1.sorted"" and ""file2.sorted"" to ""common_values.field""","comm -12 <(cut -d "" "" -f 3 file1.sorted | uniq) <(cut -d "" "" -f 3 file2.sorted | uniq) > common_values.field" "Write contents of ""/sys/kernel/debug/tracing/trace_pipe"" to standard output and to ""tracelog.txt"" executing as a root user",sudo cat /sys/kernel/debug/tracing/trace_pipe | tee tracelog.txt "Write every two lines in ""infile"" on a single line separated by a comma","cat infile | paste -sd ',\n'" "Write every two lines in ""infile"" on a single line separated by a space",cat infile | paste -sd ' \n' "Write the lines appended to ""xxxx"" as it appears to the console and append to ""yyyy"" in the background",tail -F xxxx | tee -a yyyy & "Write output and error of ""bash myscript.sh"" to standard output and to ""output.log""",bash myscript.sh 2>&1 | tee output.log "Write output of ""ls -hal /root/"" to standard output and to ""/root/test.out""",ls -hal /root/ | sudo tee /root/test.out "Write output of ""ls -lR /"" to standard output and to ""output.file""",ls -lR / | tee output.file "Write standard input to standard output and file ""/tmp/arjhaiX4""",tee /tmp/arjhaiX4 "Write standard input to standard output and to ""foobar.txt""",tee foobar.txt find all files in the current directory do not display the files which are not readable,find . ! -readable -prune find all the files in the current folder which belong to the user root.,find . -user root -perm -4000 -print "list all javascipts file which whole name does not contain ""directory""",find . -name '*.js' -and -not -path directory Pass all the files from the current directory tree as arguments to a single 'echo' command,find . -exec echo {} + Print the sorted and unique parent directory paths appended with : of all the files that are executable by owner under ~/code directory without descending into hidden directories,find ~/code -name '.*' -prune -o -type f -a -perm /u+x -printf ':%h\n' | sort | uniq | tr -d '\n' Recursively delete all files/folders named '.svn' in a current folder.,find . -name .svn -delete Scan every file in /etc for IPV4 addresses while trying to elminate false positives.,find /etc -type f -exec cat '{}' \; | tr -c '.[:digit:]' '\n' | grep '^[^.][^.]*\.[^.][^.]*\.[^.][^.]*\.[^.][^.]*$' Delete all files/directories named 'FILE-TO-FIND' under current directory tree,"find . -name ""FILE-TO-FIND"" -exec rm -rf {} \;" Execute the 'echo' command on each file from the current directory tree individually,find . -exec echo {} \; Find all $2 files in $1 path excluding /proc and search for the regex expanded by $3 in those files,"find $1 -path /proc -prune -o -name ""$2"" -print -exec grep -Hn ""$3"" {} \;" find all txt files under the current folder except ./misc folder,find . -path ./misc -prune -o -name '*.txt' -print "Recursively removes all files named '.svn' in a current folder, and prints messages on each action.",find . -name .svn -exec rm -v {} \; "Add ""prefix_"" to every non-blank line in ""a.txt""","nl -s ""prefix_"" a.txt | cut -c7-" Add the .abc suffix to the names of all *.txt regular files in the current directory tree,find . -type f -iname '*.txt' -print0 | xargs -0 mv {} {}.abc "Add cron lists from ""filename"" to list of cron jobs, giving errors for any lines that cannot be parsed by crontab.",crontab filename "Archive ""/media/Incoming/music/"" to ""/media/10001/music/"" on host ""server"" and skip files that are newer in the destination, delete any files in the destination not in the source, and compress data during transmission",rsync -avzru --delete-excluded /media/Incoming/music/ server:/media/10001/music/ "Archive ""/path/to/copy"" on host ""remote.host"" as user ""user"" to ""/path/to/local/storage"" updating files with different checksums, showing human readable progress and statistics, and compressing data during transmission",rsync -chavzP --stats user@remote.host:/path/to/copy /path/to/local/storage "Archive ""/path/to/files"" on host ""remotemachine"" authentifying as user ""user"" and compressing data during transmission, copy symlinks as symlinks.",rsync -avlzp user@remotemachine:/path/to/files /path/to/this/folder "Archive ""foo/bar/baz.c"" to ""remote:/tmp/"" preserving the relative path of ""foo/bar/baz.c""",rsync -avR foo/bar/baz.c remote:/tmp/ "Archive ""myfile"" to ""/foo/bar/"" and create directory ""/foo/bar/"" if ""/foo/"" exists",rsync -a myfile /foo/bar/ "Archive all files beginning with .env or .bash in current directory to user's home directory on host ""app1"", preserving timestamps and skipping files that are newer on ""app1""",rsync -vaut ~/.env* ~/.bash* app1: "Archive all files (not directories) in ""folder1"" to ""copy_of_folder1"" specifying to include files info.txt and data.zip","rsync -a -f""+ info.txt"" -f""+ data.zip"" -f'-! */' folder1/ copy_of_folder1/" "Archive all files specified on standard input under ""/path/to/files"" to ""/path"" on host ""targethost"" as user ""user"" with escalated privileges","rsync -av --files-from=- --rsync-path=""sudo rsync"" /path/to/files user@targethost:/path" "Archive directory specified by variable ""i"" to ""/iscsi"" preserving relative paths.",rsync -avR $i /iscsi; "Archive directory specified by variable ""myFolder"" to current directory.",rsync -av $myFolder . "Archive preserving permissions and ownership files in ""/var/www/"" on host ""user@10.1.1.2"" to local ""/var/www/""",sudo rsync -az user@10.1.1.2:/var/www/ /var/www/ Assigns MAC address 00:80:48:BA:d1:30 to interface eth0.,ifconfig eth0 hw ether 00:80:48:BA:d1:30 Attempt to connect as root via ssh to host whose IP address or hostname is specified by environment variable IPADDRESS - this will normally fail because ssh servers normally don't accept root logins.,"scp -rp ""DAILY_TEST_FOLDER"" ""root@${IPADDRESS}:/home/root/""" "bind ""\C-i"" to ""complete"" function","bind '""\C-i"":complete'" "Calculate md5 checksum of $KEY, take the 1st to 10th character, append them with the string '/tmp/command_cache.' and save the rsultant string to variable FILE","FILE=""/tmp/command_cache.`echo -n ""$KEY"" | md5sum | cut -c -10`""" Calculate md5 sum of empty string,"echo -n """" | md5sum" "Change all files with no user under ""/var/www"" to have owner ""root"" and group ""apache""",sudo find /var/www -nouser -exec chown root:apache {} \; "change the extension of all the "".abc"" files in the folder ""/the/path"" to "".edefg"" and do not change in the sub directories","find /the/path -depth -name ""*.abc"" -exec rename 's/\.abc$/.edefg/' {} +" change the group of all the files in the folder /u/netinst/ to staff,find /u/netinst -print | xargs chgrp staff Changes group ownership of 'logdir' to 'loggroup'.,chgrp loggroup logdir Changes group ownership of 'public' and 'private' to 'god'.,chgrp god public private Changes group ownership of 'shared' to 'Workers'.,chgrp Workers shared change the owner of all the files in the file system which belong to the user with the uid 999,find / -user 999 -exec chown NEWUSER {} \; "Change the ownership of ""/etc/udev/rules.d/51-android.rules"" to ""root""",sudo chown root. /etc/udev/rules.d/51-android.rules "Change the ownership of ""file.sh"" to ""root""",sudo chown root file.sh Change the ownership of all aluno1's files in the current directory and below to aluno2,find . -user aluno1 -exec chown aluno2 {} "change the permissions of all the directories in the folder ""/path/to/someDirectory"" to 755",sudo find /path/to/someDirectory -type d -print0 | xargs -0 sudo chmod 755 change the permission of all the php files in the folder /var/www/ to 700,"find /var/www/ -type f -iname ""*.php"" -exec chmod 700 {} \;" "change the permissions of all regular/normal files in the current directory, print0 is used for handling files with newlines in their file name",find . -type f -print0 | xargs -0 chmod 664 Change permissions to 644 for all directories under and below /path/to/someDirectory/,find /path/to/someDirectory -type d -print0 | xargs -0 sudo chmod 755 Change permission to 755 of all files/directories under current directory tree that have 777 permission,find -perm 777 | xargs -I@ sudo chmod 755 '@' "change the word ""GHBAG"" to ""stream-agg"" in all the file names in current folder which have the word ""-GHBAG-"" in their name",find . -name '*-GHBAG-*' -exec rename 's/GHBAG/stream-agg/' {} + Combine every two lines of standard input,"paste -d """" - -" Compare *.csv files in the current directory tree with their analogs stored in /some/other/path/ prompting before running `diff',"find . -okdir diff {} /some/other/path/{} "";""" "Compare files in ""/tmp/dir1"" and ""/tmp/dir2"", treating absent files as empty and all files as text",diff -Nar /tmp/dir1 /tmp/dir2/ Compresses all files in the directory 'PATH_TO_FOLDER' without recursion and keeps uncompressed files from deletion.,find PATH_TO_FOLDER -maxdepth 1 -type f -exec bzip2 -zk {} \; "Compresses with compression level 9 all files under the current folder but already compressed '*.bz2' files, performing in background.","find ""$1"" -type f | egrep -v '\.bz2' | xargs bzip2 -9 &" "Connect to host ""${HOSTNAME}"" as user ""${USERNAME}"" and execute ""${SCRIPT}"" non-interactively","ssh -l ${USERNAME} ${HOSTNAME} ""${SCRIPT}""" "Copy ""*.cc"", ""*.h"", and ""SConstruct"" to ""rsync://localhost:40001/bledge_ce"" using blocking IO",rsync --blocking-io *.cc *.h SConstruct rsync://localhost:40001/bledge_ce Copies ${FILE} to COLLECT folder with unique name formatted like 'job_XXXXXXXXX'.,"cp ""${FILE}"" ""COLLECT/$(mktemp job_XXXXXXXXX)""" Copy a file xyz.c to all the .c files present in the C directory and below,"find ./C -name ""*.c"" | xargs -n1 cp xyz.c" Copy all *.data files under /source_path to /target_path,find /source_path -name *.data -exec cp {} /target_path \; "Copy all files (not directories) in ""/path/to/local/storage"" to ""/path/to/copy"" on host ""remote.host"" authenticating as user ""user""",rsync /path/to/local/storage user@remote.host:/path/to/copy "copy all the files with the extension "".type"" from one folder to a target directory","find ""$sourcedir"" -type f -name ""*.type"" | xargs cp -t targetdir" Copy directory structure from directory 'olddir' to 'newdir',"find olddir -type d -printf ""newdir/%P\0"" | xargs -0 mkdir -p" "Copy file in current directory of local host to host ""remote"", connecting as ssh user matching current local username, and copying the file in home directory on remote host - enable compression during transfer.",scp -C file remote: "Copy the owner and group from ""file.txt"" to ""$tempfile""","chown --reference=file.txt -- ""$tempfile""" Counts all lines in $i file.,cat $i | wc -l Count the number of directories under directory '/directory/' non-recursively,find /directory/ -maxdepth 1 -type d -print| wc -l Count the number of files in the /usr/ports directory tree whose names begin with 'pkg-plist' and which contain 'dirrmtry',find /usr/ports/ -name pkg-plist\* -exec grep dirrmtry '{}' '+' | wc -l "Count the number of open files for PID ""$PYTHONPID"" every 2 seconds","watch ""ls /proc/$PYTHONPID/fd | wc -l""" Counts number of processors and saves in variable NUMCPU.,NUMCPU=$(grep $'^processor\t*:' /proc/cpuinfo |wc -l) Count the number of regular files with case insensitive name pattern $srchfor under 'teste2' directory tree,"find teste2 -type f -iname ""$srchfor""|wc -l" "Create 1000 files each file having a number from 1 to 1000 named ""file000"" to ""file999""",seq 1 1000 | split -l 1 -a 3 -d - file Creates 5-letter random file name and saves it in 'rand_str' variable.,"rand_str=""$(mktemp --dry-run XXXXX)""" Create a compressed archive named 'my_directory.tar.gz' with files inside directory 'my_directory' without including the directory entry 'my_directory' itself,tar -czvf my_directory.tar.gz -C my_directory . Create a rsa key with comment specified by variable APP and passphrase specified y SSHKEYPASS.,"ssh-keygen -t rsa -C ""$APP"" -N ""$SSHKEYPASS"" -f ~/.ssh/id_rsa" "Create a ssh key with no passphrase and store it in ""outfile"".",ssh-keygen -f outfile -N '' "Create a symolic link in ""/usr/local/bin/"" to ""/Applications/Sublime\ Text.app/Contents/SharedSupport/bin/subl""",ln -s /Applications/Sublime\ Text.app/Contents/SharedSupport/bin/subl /usr/local/bin/ "Create a symbolic link in the current directory to ""$file""","ln -s ""$file""" "Create a symbolic link in target directory ""~/newlinks"" to ""$source""","ln -s ""$source"" -t ~/newlinks" "Create a symbolic link named "".profile"" to ""git-stuff/home/profile"" without dereferencing "".profile""",ln -sn git-stuff/home/profile .profile "Create a symbolic link named ""/usr/bin/my-editor"" to ""/usr/share/my-editor/my-editor-executable"" and attemp to hard link directories",ln -sF /usr/share/my-editor/my-editor-executable /usr/bin/my-editor "Create a symbolic link named ""/usr/local/bin/subl"" to ""/Applications/Sublime Text 2.app/Contents/SharedSupport/bin/subl""","sudo ln -s ""/Applications/Sublime Text 2.app/Contents/SharedSupport/bin/subl"" /usr/local/bin/subl" "Create a symbolic link named ""~/bin/subl"" to ""/Applications/Sublime Text.app/Contents/SharedSupport/bin/subl""","ln -s ""/Applications/Sublime Text.app/Contents/SharedSupport/bin/subl"" ~/bin/subl" "Create a symbolic link named the basename of ""$file"" to ""$file""",ln -s $file `basename $file` "create a symbolic link with absolute path ""/cygdrive/c/Program Files"" to file ""/cygdrive/c/ProgramFiles""","ln -s ""/cygdrive/c/Program Files"" /cygdrive/c/ProgramFiles" Create a tar file containing all the files in ~/Library folder that contain spaces in their names,find ~/Library -name '* *' -print0 | xargs -0 tar rf blah.tar Create an archive named newArch from the contents of ./test directory,"find ./test -printf ""././%f\n""| cpio -o -F newArch" Create the directory '.npm-packages' in the user's home directory($HOME),"mkdir ""${HOME}/.npm-packages""" create directory /tmp/foo,mkdir /tmp/foo Create directory dir2,mkdir dir2 "create symbolic links in directory ""/usr/local/symlinks "" to all files located in directiry ""incoming"" and that have been modified earlier then 5 days and owned by user ""nr""",find /incoming -mtime -5 -user nr -exec ln -s '{}' /usr/local/symlinks ';' "Create tar archive ""dirall.tar"" and copy all files from directory tree /tmp/a1 to it",find /tmp/a1 -exec tar -rvf dirall.tar {} \; "Create tar archive ""foo.tar"" and copy all files from directory tree /tmp/a1 to it",find /tmp/a1 | xargs tar cvf foo.tar Creates temporary file in a TMPDIR folder with name like tmp.XXXXXXXXXX.,mktemp delete all the broken symbolic links from the folder /usr/ports/packages,find -L /usr/ports/packages -type l -exec rm -- {} + Delete all directories in the TBD directory that were modified more than 1 day ago,find /TBD -mtime +1 -type d | xargs rm -f -r Delete all files in the TBD directory that were modified more than 1 day ago,find /TBD/* -mtime +1 | xargs rm -rf "Delete all files (files, directories, links, pipes...) named 'core' under current directory","find . -name ""core"" -exec rm -f {} \;" Delete all files with '.old' extension under current directory tree,find . -name “*.old” -exec rm {} \; delete all the normal/regular files in the current folder,find . -type f -print -delete delete all text files from current folder,"find . -type f ! -iname ""*.txt"" -delete" Delete files under $LOCATION that match $REQUIRED_FILES in their names and were modified more than 1 day ago,find $LOCATION -name $REQUIRED_FILES -type f -mtime +1 -delete Delete in the background all files in /var/tmp/stuff1 and below that have not been modified in over 90 days,find /var/tmp/stuff1 -mtime +90 -delete & display the three smallest files by size in a folder.,find /etc/ -type f -exec ls -s {} + | sort -n | head -3 Display a binary file as a sequence of hex codes,od -t x1 file|cut -c8- dispaly a long listig of all the files in the current folder which are bigger than 100KB,find . -size +100000 -ls display a long listing of all the log files in the current folder which are bigger than 1MB,find . -size +1000k -name *.log -print0 | xargs -0 ls –lSh display all the .sh scripts in the folder /usr,find /usr -name '*.sh' display all the directories in the current folder which are atleast one level deep,find . -mindepth 1 -type d -print0 display all the directories in the current folder which start with processor followed by digit and ends with .1 or .2,find . -type d -regextype posix-egrep -regex '\./processor[0-9]*/10\.(1|2)' display all directories in vim folder do not search in sub directories,find .vim/ -maxdepth 1 -type d display all the files along with their group name in the folder /home which do not belong to the group test,"find /home ! -group test -printf ""%p:%g\n""" display all files expect directories in the current folder,find . ! — type d -print Display all files in a folder,find /usr/X11/man/man5 -print display all files in a folder,"find ""/proc/$pid/fd""" "display all the files in the current folder excluding the file states_to_csv.pl and those that are present in the directories whose name starts with "".git""","find . \! -path ""./.git*"" -a \! -name states_to_csv.pl" "display all the files in the current folder excluding those that are present in the sub directory aa and display those files that start with the word ""file""",find . \( -type d -name aa -prune \) -o \( -type f -name 'file*' -print \) display all the files in the current folder which have been modified in one hour ago,"find . -newermt ""1 hour ago""" display all the files in the current folder which contains form feed (^L) and does not contain NULL,"find . | xargs grep -PL ""\x00"" | xargs grep -Pl ""\x0c""" "display all files in the entire file system excluding the directories /proc,/sys,/dev and those files which are writable and which are not symbolic links and which are not sockets and which do not have the sticky bit set",find / -noleaf -wholename '/proc' -prune -o -wholename '/sys' -prune -o -wholename '/dev' -prune -o -perm -2 ! -type l ! -type s ! \( -type d -perm -1000 \) -print display all files in the entire file system excluding those that are in the transfer directory,find / -name /transfer -prune -o -print "display all the files in the folder /mp3-collection which are bigger than 10MB or which start with the name ""Metallica""",find /mp3-collection -name 'Metallica*' -or -size +10000k display all files in the folder bar only in the path /foo/bar/myfile (no output is generated),find bar -path /foo/bar/myfile -print "display all file in the home folder except "".c"" files","find $HOME -not -iname ""*.c"" -print" "display all the hidden directories in the directory ""/dir/to/search/""",find /dir/to/search -path '*/.*' -print "display all the html files in the current folder excluding search in the paths ./foo, ./bar.","find . -path ""./foo"" -prune -o -path ""./bar"" -prune -o -type f -name ""*.html""" display all the jars in the current folder,find . -iname '*.jar' display all mp3 files in the file system which have not been accessed in the last 24 hours,find / -name “*.mp3” -atime +01 -type f display all the php files in the current folder which do not have the permission 644,"find . -type f -name ""*.php"" ! -perm 644" "display all php,xml and phtml files in current folder",find . -name '*.php' -o -name '*.xml' -o -name '*.phtml' "display all the regular files in current folder excluding all the directories and all the sub directories having ""normal"" in their name","find . \( \( -path ""\.?.*"" -type d \) -o -path ""*normal*"" \) -prune -o \( -type f \) -print" display all the regular files in the current folder which have the permission 777,find . -type f -perm 777 display all regular files in current folder which have spaces in their name,"find -type f -name ""* *""" display all regular files in the folder image-folder,find image-folder/ -type f display all regular/normal files in temp folder and display the filename along with file size,"find tmp -type f -printf ""f %s %p\n""" display all shell scripts in current folder,"find . -name ""*.sh""" display all the text files and hidden files in the home folder,"find ~ -name ""*.txt"" — print -o -name "".*"" — print" display all text files in the folder /tmp/1,"find ""/tmp/1"" -iname ""*.txt""" display the count of all the normal/ regular files in the current directory,find . -type f |wc -l Display each line in file.txt backwards,rev file.txt "display files ending with "".ext"" in current folder excluding those that are present in the list list.txt",find -type f -name '*.ext' | grep -vFf list.txt "Displays information about all network interfaces in system, including inactive ones.",ifconfig -a (GNU specific) Display information about number of processes in various states.,top -bn1 | grep zombie "Display machine architecture, ie. x86_64",uname -m "Display only mimetype of myfile.txt, without the filename.",file -bi myfile.txt "Display standard input as a table with ""${tab}"" separators","column -s""${tab}"" -t" "download file ""https://raw.githubusercontent.com/creationix/nvm/v0.31.0/install.sh"" and execute it",curl -o- https://raw.githubusercontent.com/creationix/nvm/v0.31.0/install.sh | bash Enables shell option 'direxpand'.,shopt -s direxpand Enables shell option 'histappend'.,shopt -s histappend ERROR - need to add -a or -r for recursive copy,rsync --exclude='B/' --exclude='C/' . anotherhost:/path/to/target/directory Execute `somecommand' on each file from the current directory tree with the environment variable f set to the filename,find . -exec env f={} somecommand \; "Execute all arguments to a shell script and write the output to console and ""$FILE""",$@ | tee $FILE "Execute command ""$cmd_str"" on host ""$SERVER"" as user ""$USER""","ssh ""$USER@$SERVER"" ""$cmd_str""" "Expand bash array ""myargs"" as arguments to ""mv""","mv ""${myargs[@]}""" Extract all gzip-compressed files from tar archives beginning with 'myfiles_split.tgz_*',cat myfiles_split.tgz_* | tar xz "Extract any line in sorted file ""A"" that does not appear in ""B"", ""C"", or ""D""",cat B C D | sort | comm -2 -3 A - Filter out current date in current time zone from the GMT+30 and GMT+20 representations of current date and show the last one,"echo -e ""$(TZ=GMT+30 date +%Y-%m-%d)\n$(TZ=GMT+20 date +%Y-%m-%d)"" | grep -v $(date +%Y-%m-%d) | tail -1" "Finds $a pattern in a $b string, and returns exit code 0 if found, suppressing any visible output.",echo $b|grep -q $a Find *.c and *.h files under the current directory tree skipping hidden directories and files,find . \( -path '*/.*' -prune -o ! -name '.*' \) -a -name '*.[ch]' Find *.jpg screenshots that are bigger than 500k,find ~/Images/Screenshots -size +500k -iname '*.jpg' Find .cpp files that differs in subdirectories PATH1 and PATH2.,"diff -rqx ""*.a"" -x ""*.o"" -x ""*.d"" ./PATH1 ./PATH2 | grep ""\.cpp "" | grep ""^Files""" Find a directory named 'project.images' case insensitively in the entire filesystem and show it in long listing format,"find / -type d -iname ""project.images"" -ls" "find all the "".jpg"" files in current folder and display their count",find ./ -name '*.jpg' -type f | wc -l Find all *.cgi (case insensitive) files/directories under current directory and change their permission to 755,find . -iname '*.cgi' | xargs chmod 755 Find all *.cgi files/directories under current directory and change their permission to 755,find . -name '*.cgi' -print0 | xargs -0 chmod 755 "Find all *.epub, *.mobi, *.chm, *.rtf, *.lit and *.djvu files/directories under current directory",find ./ -name '*.epub' -o -name '*.mobi' -o -name '*.chm' -o -name '*.rtf' -o -name '*.lit' -o -name '*.djvu' find all *.java files/directories under current directory,"find . -name ""*.java""" Find all *.mp4 files under /foo/bar and move them to /some/path,find /foo/bar -name '*.mp4' -print0 | xargs -I{} -0 mv -t /some/path {} Find all *.ps files under $STARTDIR,find $STARTDIR -name '*.ps' -print Find all *.rpm files/directories under current directory,find . -name '*.rpm' "Find all *.txt files under the current directory whose names are not ""File.txt""",find . -maxdepth 1 -type f -name '*.txt' -not -name File.txt Find all *shp* directories under current directory and move their contents to ../shp_all/,"mv $(find . -name ""*shp*"" -printf ""%h\n"" | uniq)/* ../shp_all/" Find all .js files in the current directory tree that do not contain a whitespace,find . -type f -name '*.js' \( -exec grep -q '[[:space:]]' {} \; -o -print \) Find all .mp3 files with more then 10MB and delete them from root directory .,find / -type f -name *.mp3 -size +10M -exec rm {} \; Find all 777 permission files/directories under current directory tree,find -perm 777 Find all broken symlinks in maximum 1 level down the $path directory,find -L $path -maxdepth 1 -type l Find all directories in maximum 2 levels down the /tmp/test directory,find /tmp/test/ -maxdepth 2 -mindepth 1 -type d Find all directories starting from / that have permissions 777,find / -type d -perm 0777 Find all directories that start with stat,find . -type d –iname stat* Find all directories under $x directory and set read-write-execute permission for owner and group and no permission for other for those directories,"find ${x} -type d -exec chmod ug=rwx,o= '{}' \;" Find all directories under 'project' directory without going into subdirectories that do not match the POSIX egrep regex $PATTERN in their paths and are not empty,find project -maxdepth 1 -mindepth 1 -regextype posix-egrep ! -iregex $PATTERN ! -empty -type d Find all directories under /directory-path and change their permission to 2755,find /directory-path -type d -exec sudo chmod 2775 {} + Find all directories under minimum 1 level down the current directory excluding directories (along with their contents) that start with a . (dot) in their names,find . -mindepth 1 -name '.*' -prune -o \( -type d -print \) find all the empty in the current folder do not search in sub directories,find . -maxdepth 1 -type d -empty Find all executable files under the current directory and below,find . -perm /a=x Find all files/directories named 'photoA.jpg' under current directory tree,find . -name photoA.jpg Find all files/directories named modules under current directory and list them twice,find . -name modules \! -exec sh -c 'find -name modules' \; Find all files/directories owned by user 'michel' under current directory,find -user michel Find all files/directories that are not newer than Jul 01 by modification time,"find /file/path ! -newermt ""Jul 01""" Find all files/directories that are owned by user 'eric' under current directory tree,find -user eric -print Find all files/directories under $1 which have at least execute permission for their owner and set execute permission for group for these files/directories,"find ""$1"" -perm -u+x -print0 | xargs chmod g+x" Find all files/directories under $1 which have at least read permission for their owner and set read permission for group for these files/directories,"find ""$1"" -perm -u+r -print0 | xargs chmod g+r" Find all files/directories under '/usr' directory tree that have been modified exactly 5 minutes ago,find /usr -mmin 5 Find all files/directories under '/usr/share/doc' directory tree whose name start with 'README',find /usr/share/doc -name README\* Find all files/directories under /var/www/some/subset and change their owner and group to www-data,sudo find /var/www/some/subset -print0 | xargs -0 chown www-data:www-data Find all files/directories under current directory and put the output into full_backup_dir variable,"full_backup_dir=""`find . -depth -print0`""" Find all files/directories under current directory that are 10MB in size,find . -size 10M Find all files/directories under current directory tree that belong to the group 'compta',find -group compta Find all files/directories under minimum 1 level down the $FOLDER directory and sort them,"find ""$FOLDER"" -mindepth 1 | sort" Find all files/directories with '.pdf' extension excluding 'pdfs' directory and all of its contents,"find . -name ""*.pdf"" -print | grep -v ""^\./pdfs/""" Find all files/directories with user id 120 under current directory tree,find . -uid 120 -print "find all files ending with ""js.compiled"" in current folder","find . -type f -name ""*.js.compiled""" "find all files ending with ""js.compiled"" in current folder (print0 is used to handle files with newlines in their names)","find ./js/ -name ""*.js.compiled"" -print0" "find all files ending with ""js.compiled"" in current folder and rename them.","find . -name ""*.js.compiled"" -exec rename -v 's/\.compiled$//' {} +" find all the files from root folder which have nogroup or noname and dispaly their details.,find / \( -nogroup -o -noname \) -ls "Find all files in /home/kos and below whose names end in "".tmp""",find /home/kos -name *.tmp -print "Find all files in /tmp whose names begin with the current user's name followed by "".""","find /tmp -maxdepth 1 -name ""$USER.*""" find all the files in the /usr folder that have been modified after the file /usr/FirstFile.,find /usr -newer /usr/FirstFile -print Find all files in and below all subdirectories of the current directory,find . -mindepth 2 Find all files in and below the home directory that have been modified in the last 90 minutes,find ~ -mmin -90 "find all the files in the current directory and search for the word ""pw0"" in them.","find . -exec grep -i ""pw0"" {} \;" Find all files in the current directory recursively that were last modified more than 5 days ago,find ./* -mtime +5 "Find all files in the current directory recursively with ""linkin park"" in their names and copy them to /Users/tommye/Desktop/LP","find . -type f -iname ""*linkin park*"" -exec cp -r {} /Users/tommye/Desktop/LP \;" find all files in the current directory that are less than 1 byte size,find . -size -1c -print Find all files in current directory that were modified less than 1 day ago excluding hidden files and archive them and put the output into the variable file_changed,file_changed=$(find . -depth \( -wholename \./\.\* \) -prune -o -mtime -1 -print | cpio -oav) "find all the files in the current directory that have the word ""lib"" in them",find . -wholename '/lib*' find all the files in the current directory whose size is equal to exactly 126MB.,find . -size 126M "find all the files in current folder ending with ""ini"" and search for a word in all these files",find . -name *.ini -exec grep -w PROJECT_A {} \; -print | grep ini find all the files in the current folder that are modified after the modification date of a file,find . -newer document -print find all files in current folder which are more than 300MB,find . -size +300M find all the files in the current folder which are readable,find . -readable find all files in the current folder which have not been changed in the last 48 hours,find ./ -daystart -ctime +2 find all files in the file system which are modified after the file /tmp/checkpoint,find / -newer /tmp/checkpoint find all the files in the folder /home which are exactly of size 10MB,find /home -size 10M Find all files in maximum 2 levels down the current directory,find . -maxdepth 2 -type f "Finds all files like ""mylog*.log"" newer than $2 and archives them with bzip2.","find . -type f -ctime -$2 -name ""mylog*.log"" | xargs bzip2" Find all files name passwd in the root directory and all its sub-directories.,find / -name passwd "find all the files starting with ""config"" in the folder Symfony",find Symfony -name '*config*'; "find all the files starting with ""config"" in the folder Symfony ( case insensitive search)",find Symfony -iname '*config*'; find all the files that are modified in the last 1 day,find -mtime -1 find all the files that have been changed today,find . -ctime 0 -type f find all files that have been used more than two days since their status was last changed,find -used +2 Find all files that belongs to group Developer under /home directory,find /home -group developer Find all files under $source_dir that match the regex .*\.\(avi\|wmv\|flv\|mp4\) in their paths and print them with null character as the delimiter,"find ""$source_dir"" -type f -regex "".*\.\(avi\|wmv\|flv\|mp4\)"" -print0" "Find all files under ${searchpath} that match the regex '""${string1}"".*""${string2}"".*""${string3}""' (${string1} ... won't be expanded) in their contents","find `echo ""${searchpath}""` -type f -print0 | xargs -0 grep -l -E '""${string1}"".*""${string2}"".*""${string3}""'" "Find all files under and below the current working directory with the word California in the file (case insensitive), and count the number of lines in the output",find . -type f -exec grep -i California {} \; -print | wc -l "Find all files under and below the current working directory with the word California in the file, and count the number of lines in the output",find . -type f -exec grep -n California {} \; -print | wc -l Find all files under current directory and set read-write permission for owner and group and no permission for other for those directories,"find . -type f -exec chmod ug=rw,o= {} \;" "Find all files under the current directory whose filenames are not ""file.txt"", ignoring the case",find . -maxdepth 1 -not -iname file.txt Find all files under media/ directory and change their permission to 600,find media/ -type f -exec chmod 600 {} \; Find all files whose permission are 777,find / -type f -perm 777 Find all files with '.conf' extension under '/etc' directory tree that have been modified in the last 30 minutes,"find /etc -name ""*.conf"" -mmin -30" Find all files with '.txt' extension under '/home/my_dir' dirctory tree and display the number of lines in these files,find /home/my_dir -name '*.txt' | xargs grep -c ^.* Find all fonts (in '/usr/local/fonts') that belong to the user 'warwick',find /usr/local/fonts -user warwick find all the jpg files in current folder and sort them,"find . -type f|grep -i ""\.jpg$"" |sort" find all of the files that are readable,find / -readable "find all the ogg files in the current directory which have the word ""monfichier"" in their name",find -name *monfichier*.ogg find all the perl files in /var/www,"find /var/www/ -type f -name ""*.pl"" -print" find all the perl files in /var/www ( case insensitive search ),"find /var/www/ -type f -iname ""*.pl"" -print" Finds all php processes running in system.,pstree | grep php find all the png files in the current folder which begin with the word image,"find . -name ""image*.png""" Find all README's in /usr/share,find /usr/share -name README Find all regular files in current directory and /home/www directory,find * /home/www -type f Find all regular files in the current directory tree and count them,find -type f -printf '.' | wc -c Find all regular files named postgis-2.0.0 under current directory,"find . -type f -name ""postgis-2.0.0""" Find all regular files that reside in the current directory tree and were last modified more than 5 days ago,find . -type f -mtime +5 Find all regular files under ${S} directory,"find ""${S}"" -type f" Find all regular files whose names contain a case insensitive pattern composed of space separated positional arguments and display a long listing of them,"find . -type f -iname '*'""$*""'*' -ls" Find all SUID set files under current directory and show a few lines of output from the beginning,find . -perm /u=s | head find all text files in the current folder,"find -name ""*.txt""" find all text files in current folder and delete all the files that have the word foo in their name,"find . -name "".txt"" | grep ""foo"" | xargs rm" find all the text files that have modified in the last 2 days and not modified today,"find . -name ""*.txt"" -type f -daystart -mtime +0 -mtime -2" Find all TXT files that belong to user root,"find / -user root -iname ""*.txt""" Find and delete all .zip files in the current directory tree,find . -depth -name '*.zip' -exec rm {} \; Find and remove the .rhosts file in the /home directory tree,find /home -name .rhosts -print0 | xargs -0 rm this find command Substitute space with underscore in the file name replaces space in all the *.mp3 files with _,find . -type f -iname '*.mp3' -exec rename '/ /_/' {} \; find directories in the folder /usr/spool/uucp,find /usr/spool/uucp -type d -print Find empty regular files in /dir and its subdirectories,find /dir -type f -size 0 -print Find every JavaScript file in the wordpress directory,find wordpress -maxdepth 1 -name '*js' "find the file ""filename.txt"" in the usr folder",find /usr -name filename.txt -print Find files/directories named 'filename' in the entire filesystem,find / -name filename -print Find files/directories named 'photo.jpg' in the entire filesystem,find / -name photo.jpg Find files/directories named 'somename.txt' under current directory tree,"find ./ -name ""somename.txt""" "Find files in the current directory tree whose names begin with ""file"" and whose size is 0, and remove them",find -name 'file*' -size 0 -delete Find files in the current directory tree whose permissions are 775,find . -perm 775 Find the file whose inode number is 1316256,find . -inum 1316256 "find the file with the name ""file"" in the entire file system",find / -name file Find the first file/directory in ... directory and quit,find ... -print -quit "Finds the folder where temporary files would be written to, and save path to it in a 'TMPDIR' variable.",TMPDIR=`dirname $(mktemp -u -t tmp.XXXXXXXXXX)` Find out if there are any files on the system owned by user `account',find / -path /proc -prune -o -user account -ls Find PHP files with abstract classes,"find . -type f -name ""*.php"" -exec grep --with-filename -c ""^abstract class "" {} \; | grep "":[^0]""" "Finds recursively all files in '/path/' excluding folders dir1, dir2 and all like *.dst, that contain 'pattern', and prints matched strings with string number and file name.","grep --exclude-dir={dir1,dir2,*.dst} -rnw '/path/to/somewhere/' -e ""pattern""" Find regular files in the current directory that are writable by at least somebody,find -maxdepth 1 -type f -perm /222 "find regular files in the current directory, without descending into sub-directories and display as a null separated list.",find -maxdepth 1 -type f -printf '%f\000' "Finds strings like ""texthere"" recursively in all files of a current folder regarding all symlinks.","grep -R ""texthere"" *" "Finds strings with dot-separated sequence of numbers, and prints part of that sequence before the first dot.","echo ""$f"" | grep -Eo '[0-9]+[.]+[0-9]+[.]?[0-9]?' | cut -d. -f1" "Finds strings with dot-separated sequence of numbers, and prints part of that sequence before the second and third dot.","echo ""$f"" | grep -Eo '[0-9]+[.]+[0-9]+[.]?[0-9]?' | cut -d. -f3" "Finds strings with dot-separated sequence of numbers, and prints part of that sequence between the first and second dot.","echo ""$f"" | grep -Eo '[0-9]+[.]+[0-9]+[.]?[0-9]?' | cut -d. -f2" Find symlinks in the current directory tree,find . -type l | xargs ls -ld "Force create a symbolc link named ""softlink_name"" to ""source_file_or_directory_name"" without dereferencing ""softlink_name""",ln -sfn source_file_or_directory_name softlink_name Forcefully delete all files in the current directory,find . -name '*' | xargs rm "Format the contents of ""[file]"" in a neat table",cat file | column -t Format time string @1267619929 according to default time format,date -d @1267619929 "Forward port 16186 on hello.com to 8888 on localhost using private key ""privatekeystuffdis88s8dsf8h8hsd8fh8d"" for login","ssh -N -i <(echo ""privatekeystuffdis88s8dsf8h8hsd8fh8d"") -R 16186:localhost:8888 hello.com" "Get domain ""$domain"" IP address","dig +short ""$domain""" Get domain name of $ip and save it to the variable 'reverse',reverse=$(dig -x $ip +short) Gets MAC address of p2p0 network interface.,"ifconfig p2p0 | grep -o -E '([[:xdigit:]]{1,2}:){5}[[:xdigit:]]{1,2}'" Get second line from text contained in variable $data.,"echo ""$data"" | cut -f2 -d$'\n'" get year-month-day hour:minute:second from date,date +%Y-%m-%d:%H:%M:%S Go to directory /cygdrive/c/Program Files (x86) using backslashes to escape special characters,cd /cygdrive/c/Program\ Files\ \(x86\) Go to directory /cygdrive/c/Program Files (x86) using quotes to escape special characters,"cd ""/cygdrive/c/Program Files (x86)""" "Interactively create a symbolic link in the current directory for ""$SCRIPT_DIR/$FILE""",ln --symbolic --interactive $SCRIPT_DIR/$FILE "Isolate first comma-delimited field of each line in ""file"", discard consecutive duplicates, and search ""file"" for first matching occurrence of that field.","cut -d, -f1 file | uniq | xargs -I{} grep -m 1 ""{}"" file" "Join columns in ""file1"" and ""file2"" if their first field matches and format the output as a table",join file1 file2 | column -t "Join comma-separated data in file1 and file2, including extra non-matching information in both files.","join -t, -a1 -a2 <(sort file1) <(sort file2)" List .log files from the current directory tree,"find . -name ""*.log"" -exec echo {} \;" List all .jpg files in the home directory tree,"find . -name ""*.jpg"" -exec ls {} \;" List all .jpg files in the home directory tree in a fast way,"find . -name ""*.jpg"" -exec ls {} +" List all .svn files/directories under current directory,find . -name .svn -exec echo {} \; "List all files and directories in long list format with a time style of ""long-iso"" and sort from oldest modifed to newest modified",ls -l --time-style=long-iso | sort -k6 List all files/directories under current directory with 'FooBar' in their names ensuring white space safety,find . -name 'FooBar' -print0 | xargs -0 List all files in the current directory tree larger than 1000 kb,find . -size +1000k List all files under current directory with their sizes and paths,find . -type f -printf '%s %p\n' List all IPV4 addresses found in all files under /etc directory,find /etc -type f -exec cat '{}' \; | tr -c '.[:digit:]' '\n' | grep '^[^.][^.]*\.[^.][^.]*\.[^.][^.]*\.[^.][^.]*$' List all SGID and SUID files in entire file system,find / -type f \( -perm -4000 -o -perm -2000 \) -ls List the full path of each directory in the current working directory,"tree -dfi -L 1 ""$(pwd)""" list regular files ending in .mbox,find . -type f -wholename \*.mbox Locate all *.mov files in the current directory tree,find . -name '*.mov' "Locate all files in the current directory and below that have ""testfile"" in their names","find -name ""*testfile*""" looks for all files larger than 10 megabytes (10485760 bytes) within /home,find /home -type f -size +10485760c -print Modify interval to 0.1 seconds for the watch command,watch -n 0.1 "Mount ""nifs"" filesystem ""/dev/mapper/myldm"" on ""/mnt"" as read only",mount -t ntfs -o ro /dev/mapper/myldm /mnt "Mount partition with label ""WHITE"" on ""/mnt/WHITE""",mount -L WHITE /mnt/WHITE "Mount partition with label ""WHITE"" on ""/mnt/WHITE"" with read and write permission and have it accessible by user and group ""test""","mount -L WHITE /mnt/WHITE -o rw,uid=test,gid=test" Move all *.pdf.marker files and their corresponding *.pdf files under ${INPUT} to ${OUTPUT},"find ${INPUT}/ -name ""*.pdf"" -exec mv '{}' '{}'.marker ${OUTPUT} \;" "Move all files excluding hidden files in ""/path/subfolder/"" to ""/path/""",mv /path/subfolder/* /path/ Move each of the directories in /path/to/folders/* to another directory whose name is constituted by appending .mbox to each directory name and create a directory named Messages in this directory then move all *.emlx files into this directory,"find /path/to/folders/* -type d -exec mv {} {}.mbox \; -exec mkdir {}.mbox/Messages \; -exec sh -c ""mv {}.mbox/*.emlx {}.mbox/Messages"" \;" Move files from $sourcePath to $destPath that have not been modified in the last 10 days,"find $sourcePath -type f -mtime +10 -name ""*.log"" -exec mv {} $destPath \;" "Numerically sort file ""file.dat"" by the second word of each line and output from greatest value to least value","sort -nk 2,2 file.dat | tac" "Open gcc info manual and select ""option index"" menu entry.","info gcc ""option index""" Perform case-insensitive search for file `TeSt123.txt' on the system,find / -iname TeSt123.txt "Print ""deb-src http://ppa.launchpad.net/webupd8team/java/ubuntu precise main"" and append to file ""/etc/apt/sources.list""","echo ""deb-src http://ppa.launchpad.net/webupd8team/java/ubuntu precise main"" | tee -a /etc/apt/sources.list" "Print 'file' content, formatting output as 29-symbol wide column",cat file | fold -w29 Print a list of all *.code files from the current directory tree,find . -name *.code Print a list of regular files from directory tree sort_test/ sorted with LC_COLLATE=en_US.UTF-8,find sort_test -type f | env -i LC_COLLATE=en_US.UTF-8 sort Print a list of regular files from directory tree sort_test/ sorted with LC_COLLATE=en_US.utf8,find sort_test/ -type f | env -i LC_COLLATE=en_US.utf8 sort "Print a randomly sorted list of numbers from 1 to 10 to file ""/tmp/lst"" and outputs ""-------"" followed by the reverse list to the screen",seq 1 10 | sort -R | tee /tmp/lst |cat <(cat /tmp/lst) <(echo '-------') | tac "Print absolute path of ""PATH""",readlink -f PATH "Print common files of directory ""1"" and ""2""",comm -12 <(ls 1) <(ls 2) "Print the contents of ""order.txt""",cat order.txt Print count of unique lines in all files like 'list_part*',cat list_part* | sort --unique | wc -l Print current date as epoch seconds,date +%s Print the current directory tree with the date of last modification for each file or directory,tree -D Print details for all files in the ./work directory tree with extension .sh that were modified less than 20 days ago,"find ./work/ -type f -name ""*.sh"" -mtime -20 | xargs -r ls -l" "Print differences between the sorted content of file $1 and file $2, executing 'diff' with options from ""${@:3}"" array slice","diff ""${@:3}"" <(sort ""$1"") <(sort ""$2"")" Print directories in the the current directory as a list with no report information,tree -d -L 1 -i --noreport "Print each line in ""set1"" and ""set2"" that does not exist in the other",sort set1 set2 | uniq "Print the file content of command ""[whatever]""",cat `find [whatever]` Print files created/modified in the last day,find /directory -newermt $(date +%Y-%m-%d -d '1 day ago') -type f -print "Print first 11 characters from $line, print a tab, print the md5 sum of the file specified by the 13th and onward characters from $line and print a null character at end without a trailing new line","echo -en ""${line:0:11}"" ""\t"" $(md5sum ""${line:12}"") ""\0""" Print first field from semicolon-seprated line $string.,echo $string | cut -d';' -f1 Print the full path of a file under the current working directory with inode number specified on standard input,"xargs -n 1 -I '{}' find ""$(pwd)"" -type f -inum '{}' -print" "Print the full path of executable ""lshw""",which lshw Prints full path to files with dot in name in a current folder.,ls -d -1 $PWD/*.* Print the given file name's extensions.,"echo ""$NAME"" | cut -d'.' -f2-" Print last 10 commands in history,history 10 Print the last 10 commands in history,history | tail "Print line number of each line in /etc/passwd file, where current user name is found",cat /etc/passwd -n | grep `whoami` | cut -f1 "Print the list of files in the current directory tree excluding those whose paths contain ""exclude3"" or ""exclude4""","find . | egrep -v ""(exclude3|exclude4)"" | sort" Print the list of regular files from the current directory tree that were modified less than 2 days ago,find . -type f -mtime -2 -exec echo {} + Prints logged in users in sorted order.,w | sort "Prints long listing of the current directory and top-level directories within, sorted from oldest to newest, with appended indicators.",$ ls -Fltr * "Prints long listing of the current directory, sorted from oldest to newest, with appended indicators.",$ ls -Fltr "Print the most repeated line in ""list2.txt"" that exists in ""list1.txt"" prefixed by the number of occurrences",grep -Ff list1.txt list2.txt | sort | uniq -c | sort -n | tail -n1 Print the names of the directories from the paths expanded by the glob pattern /path/to/directory/*,find /path/to/directory/* -maxdepth 0 -type d -exec basename {} \; Print nothing because 'MYVAR' string doesn`t match with '/[^/]+:' pattern,echo MYVAR | grep -oE '/[^/]+:' | cut -c2- | rev | cut -c2- | rev Print the number of lines for each *.txt file from the $DIR directory tree,"find $DIR -name ""*.txt"" -exec wc -l {} \;" Print only common strings in content of files 'file1.sorted' and 'file2.sorted',comm -1 -2 file1.sorted file2.sorted Prints only unique strings of those stored in variables $COMMANDS and $ALIASES.,"echo ""$COMMANDS""$'\n'""$ALIASES"" | sort -u" "Prints string ""0 1 * * * /root/test.sh"" to the terminal, and append it to file '/var/spool/cron/root'","echo ""0 1 * * * /root/test.sh"" | tee -a /var/spool/cron/root" Print the text file paths that match 'needle text' in their contents under 'my_folder' recursively,"grep -rl ""needle text"" my_folder | tr '\n' '\0' | xargs -r -0 file | grep -e ':[^:]*text[^:]*$' | grep -v -e 'executable'" "Print unique lines of sorted ""File 1"" compared with sorted ""File 2""","comm -23 ""File 1"" ""File 2""" "Print unique lines of sorted file ""A.txt"" compared to sorted file ""B.txt""",comm -2 -3 <(sort A.txt) <(sort B.txt) Prints what day it was 222 days ago,"date --date=""222 days ago"" +""%d""" "Process each file beginning with ""file"" in the current directory as an argument to ""bash script.sh""",find -type f -maxdepth 1 -name 'file*' -print0 | sort -z | xargs -0 bash script.sh Puts the job 1 in the background.,bg %1 [puts the job in the background] "Read a line from standard input and save each word in the bash array variable ""arr""",read -a arr "Read a line from standard input into variable ""message"" with escaped prompt ""Please Enter a Message: \n\b""","read -p ""$(echo -e 'Please Enter a Message: \n\b')"" message" "Read the first line of output from ""du -s $i"" into variable ""k"" in ksh",du -s $i | read k Read standard input and replace any repeated characters except spaces with a single instance,tr -cs '[:space:]' "Read standard input until a null character is found and save the result in variable ""f2""",read -r -d $'\0' f2 Recursively changes group ownership of everything within a '/path/to/repo' to 'GROUP'.,chgrp -R GROUP /path/to/repo Recursively changes group ownership of everything within a current folder to 'admin'.,chgrp -R admin * "Recursively change owner of all files in ""folder"" to ""user_name""",chown -R user_name folder "Recursively change the owner to ""$USER"" and group to ""$GROUP"" of ""/var/lib/cassandra""",sudo chown -R $USER:$GROUP /var/lib/cassandra "Recursively change the owner to ""${JBOSS_USER}"" of ""$JBOSS_LOG_DIR""",chown -R ${JBOSS_USER}: $JBOSS_LOG_DIR "Recursively copy ""emptydir"" to ""destination/newdir""",rsync --recursive emptydir/ destination/newdir "Recursively copy /path/foo on host ""prod"" to local directory ""/home/user/Desktop"", connecting as ssh username corresponding to local username.",scp -r prod:/path/foo /home/user/Desktop Recursively copy all files with names ending with .txt from dir_1 to the same location within copy_of_dir_1,"rsync --recursive --prune-empty-dirs --include=""*.txt"" --filter=""-! */"" dir_1 copy_of_dir_1" "Recursively copy local file/directory ""/copy/from/path"" to remote location ""/copy/to/path"" on host ""server"", connecting as ssh user ""user"" and using identity key file ""/path/to/your/.pemkey"" instead of the default in ~/.ssh/",scp -i /path/to/your/.pemkey -r /copy/from/path user@server:/copy/to/path "Recursively from current folder searches only files that names match "".*xxx"" pattern, ignores binary files and prints file name before every string that contains ""my Text to grep"".","grep --include=""*.xxx"" -nRHI ""my Text to grep"" *" recursively look for files ending in either .py or .py.server,"find . -type f -regex "".*\.\(py\|py\.server\)""" "Recursively search current directory for all files with name ending with "".t1"", change this to .t2","find . -name ""*.t1"" -exec rename 's/\.t1$/.t2/' '{}' \;" "Recursively search for everything under the current directory, displaying human-readable file type description for each entry.",find . -exec file {} \; "Recursively set all permissions under ""../tools"" to 777",chmod -R 777 ../tools "Recursively set all permissions under ""/whatever/your/directory/is"" to 755",sudo chmod 755 -R /whatever/your/directory/is "Reformat date ""Sat Aug 09 13:37:14 2014 +1100"" according to format string ""%a %b %d %H:%M:%S %Y %z""","date -j -f ""%a %b %d %H:%M:%S %Y %z"" ""Sat Aug 09 13:37:14 2014 +1100""" remote copy all text files from one location to another,find . -name '*.txt' -exec rsync -R {} path/to/dext \; "Remount ""/system"" with read and write permission","mount -o remount,rw /system" "Remount ""yaffs2"" filesystem ""/dev/block/mtdblk4"" to ""/system"" as read and write only","mount -o rw,remount -t yaffs2 /dev/block/mtdblk4 /system" Removes '/var/lib/mongodb/mongod.lock' in 'sudo' mode.,sudo rm /var/lib/mongodb/mongod.lock Removes 'foo' file.,rm foo Remove all *.swp files under current directory ensuring white space safety,"find . -name ""*.swp"" -print0|xargs -0 rm" Remove all directories in and below the current directory,find \! -name . -type d -print0 | xargs -0 rmdir "Remove all files and directories called ""test"" from the current directory tree",find . -name test -delete Removes all files but $1 newest ones from current folder.,"ls -tp | grep -v '/' | tail -n +""$1"" | xargs -I {} rm -- {}" Removes all files from current folder but 3 newest ones,ls -tQ | tail -n+4 | xargs rm Remove all files named `junk' and `dummy',find . \( -name junk -o -name dummy \) -exec rm '{}' \; Remove all files that end with 'prefs copy' in their names under '/mnt/zip' directory tree,"find /mnt/zip -name ""*prefs copy"" -print | xargs rm" remove all the log files which have not been modified in the last 5 days,find /logs -type f -mtime +5 -exec rm {} \; Remove all vmware-*.log files/directories under current directory,find . -name vmware-*.log | xargs -i rm -rf {} "Remove duplicates in variable ""variable"" and preserve the order","variable=$(echo ""$variable"" | tr ' ' '\n' | nl | sort -u -k2 | sort -n | cut -f2-)" Removes empty folder 'symlink'.,rm -d symlink Remove files in current directory according to the filenames found in ~/clang+llvm-3.3/bin/,find ~/clang+llvm-3.3/bin/ -type f -exec basename {} \; | xargs rm Removes files ~/.android/adbkey and ~/.android/adbkey.pub without prompting.,rm -f ~/.android/adbkey ~/.android/adbkey.pub "Remove the first 13 characters of each "".txt"" filename in the ""/tmp"" directory tree and number the output",find /tmp -type f \( -name '*.txt' \) |cut -c14- | nl "Remove the first 7 characters of every line in the output of ""history""",history | cut -c 8- Removes only lowest level subfolders from current directory tree (folders without files and another folders within).,find . -type d | xargs rmdir Remove the passphrase from user's ssh key without prompting.,echo | ssh-keygen -P '' Remove the regular files from the current directory tree that are newer than /tmp/date.start but not newer than /tmp/date.end,find ./ -type f -newer /tmp/date.start ! -newer /tmp/date.end -exec rm {} \; Rename all .html files to .txt,rename 's/\.html$/\.txt/' *.html Replace all sequence of 'blank' characters in file 'log' with a single occurence of such symbol and print space-separated fields of each string but first two fields,cat log | tr -s [:blank:] |cut -d' ' -f 3- "Reports count of characters in the value of ${FOO_NO_LEAD_SPACE} variable as follows: ""length(FOO_NO_LEAD_SPACE)==""","echo -e ""length(FOO_NO_LEAD_SPACE)==$(echo -ne ""${FOO_NO_LEAD_SPACE}"" | wc -m)""" Report file system containing path-to-file disk usage human-readable.,df -h path-to-file Report root file system disk usage human-readable.,df -h / Returns 0 if user $1 belongs to group $2.,"groups $1 | grep -q ""\b$2\b""" return every file that does not have bar somewhere in its full pathname,find . ! -path '*bar*' -print Run a shell with all environment variables specified in the file 'cronenv' in the user's home directory.,env - `cat ~/cronenv` /bin/sh "Save a nginx link to ""/path/to/file"" with the current user and system FQDN host name in variable ""path""","path=""http://$(whoami).$(hostname -f)/path/to/file""" Save a space separated list of process ids of currently running jobs to variable 'bgxjobs',"bgxjobs="" $(jobs -pr | tr '\n' ' ')""" "Save absolute path of the script filename in variable ""MY_PATH""","MY_PATH=$(readlink -f ""$0"")" "Saves calendar of $month, $year in the 'cal' variable.","cal=$(echo $(cal ""$month"" ""$year""))" "Save the directory name of the current bash script to variable ""path"" if it is found in one of the directories specified by PATH.","path=""$( dirname ""$( which ""$0"" )"" )""" Saves listing of a current folder in 'var' variable.,var=$(ls -l) Saves list of logged in users in system together with 'USER' header in the 'b' variable.,b=`w|cut -d' ' -f1`; Saves number of lines of current directory listing in 'n_max' variable.,n_max=`ls . | wc -l` "Search the ""your/dir"" directory for empty subdirectories",find your/dir -mindepth 1 -prune -empty Search the `images' directory tree for regular files,find images/ -type f "Search the /Path directory tree for files matching pattern ""file_name*"" and containing ""bar"" in their pathnames","find /Path -name ""file_name*"" | grep ""bar""" Search the /path directory tree for files matching pattern '*.foo',find /path -name '*.foo' Search /usr/local recursively for directories whose names end with a number 0-9,find /usr/local -type d -name '*[0-9]' "Search all .java files residing in the current directory tree and modified at least 7 days ago for string ""swt""",find . -name '*.java' -mtime +7 -print0 | xargs -0 grep 'swt' Search all directory from /usr downwards for files whose inode number is 1234 and print them .,find /usr -inum 1234 -print Search all files & directoy from root directory which are greater then 100M and delete them .,find / -size +100M -exec rm -rf {} \; "Search all files in the current directory tree that are named ""whatever"" for ""whatever""",find . -name whatever -print | xargs grep whatever "Search all files in the current directory tree whose names contain ""."" for ""SearchString""",find . -name '*.*' -exec grep 'SearchString' {} /dev/null \; search all files in the current folder which match the regular expression,"find . -regex "".*/my.*p.$"" -a -not -regex "".*test.*""" search all jpg images in current folder and rename them,"find . -type f -name ""*.jpg"" -print0 | xargs -0 rename ""s/Image_200x200_(\d{3})/img/""" Search all non-hidden files in the current directory and all non-hidden sub-directories for the file hi.dat.,find *-name hi.dat Search the bla directory recursively for *.so files,"find bla -name ""*.so""" Search the current directory and all of its sub-directory for any PDF files being careful to prevent the shell from expanding anything in '*.pdf' before it'ss passed to find.,find . -name '*.pdf' -print "Search the current directory recursively for files containing ""needle text""","find . -type f -exec grep -Iq . {} \; -and -print0 | xargs -0 grep ""needle text""" Search the current directory recursively for regular files last modified more than 2 days ago,find . type -f -mtime +2 Search the current directory tree for all regular non-hidden files except *.o,"find ./ -type f -name ""*"" -not -name ""*.o""" "Search the current directory tree for files whose names do not end in "".exe"" and "".dll""","find . -not -name ""*.exe"" -not -name ""*.dll"" -not -type d" "Search the current directory tree for symbolic links named ""link1""",find . -type l -name link1 Search the entire file hierarchy for files ending in '.old' and delete them.,"find / -name ""*.old"" -delete" Search for 'Text To Find' in all regular files under current directory tree and show the matched files,"find ./ -type f -exec grep -l ""Text To Find"" {} \;" Search for 'birthday' (case insensitive) in all regular files under ~/Documents directory tree and show only the filenames,find ~/Documents -type f -print0 | xargs -0 grep -il birthday Search for 'string-to-find' in all HTML files under current directory tree and show the matched lines with their filenames,find . -name \*.html -exec grep -H string-to-find {} \; "Search for 'stuff' in all *,txt files under current directory","find . -name ""*.txt"" -print0 | xargs -0 egrep 'stuff'" Search for .pdf files,find / -name '*.pdf' "Search for Subscription.java under current directory, and go to directory containing it.","cd ""$(find . -name Subscription.java -printf '%h\n')""" "search for all ""tif"" images in current folder",find . -name '*.tif ' -print Search for all .mp3 files in the /mnt/usb directory tree,"find /mnt/usb -name ""*.mp3"" -print" "Search for all files in the current directory recursively whose names contain ""linkin park"", ignoring the case","find . -iname ""*linkin park*""" search for all the files in the folder /data/images which have been modified after /tmp/start and before /tmp/end,find /data/images -type f -newer /tmp/start -not -newer /tmp/end Search for the case insensitive regex 'STRING_TO_SEARCH_FOR' in all files under current directory,find . -type f -exec grep -n -i STRING_TO_SEARCH_FOR /dev/null {} \; "search for the file ""job.history"" in the folder /data/Spoolln and search for multiple patterns in the file and display the count of matched lines along with the pattern",find /data/SpoolIn -name job.history | xargs grep -o -m 1 -h 'FAIL\|ABOR' | sort | uniq -c "search for the file ""name_to_find"" in the home folder","find ~ -name ""name_to_find""" "search for the file, filename.txt in the folder /home",find /home -name filename.txt "Search for the regex $greppattern in all files with '.c' or '.h' extension under $searchpath with name pattern $filepat and show the matched line numbers, file names and matched lines","find ""$searchpath"" -name ""$filepat.[ch]"" -exec grep --color -aHn ""$greppattern"" {} \;" Search for the string 'magic' in all regular files under current directory tree and display long listing of them,"find . -type f -exec grep ""magic"" {} \; -ls" "search for the word ""foo"" in all the regular/normal files in the directory ""/path/to/dir""","find /path/to/dir -type f -print0 | xargs -0 grep -l ""foo""" "search for the word ""foo"" in all the regular/normal files with the name ""file-pattern"" in the directory ""/path/to/dir""","find /path/to/dir/ -type f -name ""file-pattern"" -print0 | xargs -I {} -0 grep -l ""foo"" ""{}""" search for the word text in all the python files in the current folder,"find . -iname '*py' -exec grep ""text"" {} \;" "search in the current folder for the files that begin with ""myletter""",find . -name 'myletter*' -print Searches the manual page names and descriptions,apropos "Search the system for directories named ""needle""","find / -type d -name ""needle""" Search the system for the file “testfile.txt” ignoring the case,"find / -iname ""testfile.txt""" "Send 4 ping packets of size 2 to ""www.google.com"" on a Solaris machine",ping -s www.google.com 2 4 "set a crontab to create or update the timestamp of ""washere2"" in the current directory every 30 minutes.","echo ""30 * * * * touch $(pwd)/washere2"" | crontab" "Set the bash environmental variable ""PROMPT_COMMAND"" to save the output of the last executed command to variable ""LAST"" and file '/tmp/x""","PROMPT_COMMAND='LAST=""`cat /tmp/x`""; exec >/dev/tty; exec > >(tee /tmp/x)'" "Set permissions to ug=rw,o= for files inside the ./default/files tree","find ./default/files -type f -exec chmod ug=rw,o= '{}' \;" "Set the setup connection timeout to 3 seconds for connecting to ""user@ip"" via ssh",ssh -o ConnectTimeout=3 user@ip Set timestamp of old_file.dat to specified timestamp.,touch -t 200510071138 old_file.dat "(GNU specific) Set variable LINE to full process info of process currently taking the most CPU time, squeezing multiple consecutive spaces into one.",LINE=$(top -b -n 1 | tail -n +8 | head -n 1 | tr -s ' ') "Silently read $char number of symbols from file descriptor 4, without backslash escaping, and store received input in 'line' variable",read -u 4 -N $char -r -s line Sort all files/directories under current directory according to modification time and print only the recent 7 of them,"find -mindepth 1 -printf ""%T@ %P\n"" | sort -n -r | cut -d' ' -f 2- | tail -n +7" Sort and display the file name and creation month of top 11 files in the entire file system (Sort in the order of month ),"find / -type f -printf ""\n%Ab %p"" | head -n 11 | sort -k1M" "Split ""domains.xml"" into files of at most 50000 lines each with a numeric suffix of length 4 and prefix of ""domains_""",split -a 4 -d -l 50000 domains.xml domains_ "Split ""file.txt"" into files of at most 1 MiB in size with a numeric suffix and prefix ""file""",split -b 1M -d file.txt file "Split ""filename"" into files of at most 200000 lines each",split -l 200000 filename split content all files file1..40000 into pieces per 1445 lines named as outputprefixNNN as digital prefix,cat file1 file2 ... file40000 | split -n r/1445 -d - outputprefix "split file abc.txt into pieces per 1500000000 bytes named as ""abc.NNN""",split --bytes=1500000000 abc.txt abc "ssh into ""hostname"" as user ""buck""",ssh -l buck hostname "SSH into ""hostname"" on port 22 as user ""myName""",ssh -l myName -p 22 hostname "ssh into ""ssh.myhost.net"" as user ""myusername"" and run command ""mkdir -p $2""","ssh myusername@ssh.myhost.net ""mkdir -p $2""" ssh into localhost on port 10022,ssh -p 10022 localhost SSH into user@server and run command ${SSH_COMMAND},"ssh user@server ""${SSH_COMMAND}""" "Strips last section from the path $pathname, and prints basename of the rest part.",echo $(basename $(dirname $pathname)) "Test if ""file.tar.gz"" is corrupt",gunzip -t file.tar.gz "Unzip file ""$empty_variable""",gunzip $empty_variable (GNU specific) Use 'top' to monitor one process.,top –p $PID use find command to search for .png and .jpg files,find ./ -type f \( -iname \*.jpg -o -iname \*.png \) "when using vi-insert keymap bind command ""\C-v{}\ei"" to key ""{""","bind -m vi-insert '""{"" ""\C-v{}\ei""'" "Add executable permission to ""pretty-print""",chmod +x pretty-print "Add executable permission to ""rr.sh""",chmod +x rr.sh "add read,write permissions to all the files in the current folder which have the permission 600",find . -perm 600 -print | xargs chmod 666 "Answer ""n"" to any prompts in the interactive recursive removal of ""dir1"", ""dir2"", and ""dir3""",yes n | rm -ir dir1 dir2 dir3 "Answer ""y"" to all ""Are you sure?"" prompts from command ""cp * /tmp""",yes | cp * /tmp Append the current date to variable 'LBUFFER',"LBUFFER+=""$(date)""" Append the last modification time of file $arg as the seconds since epoch with a preceding space to the variable 'KEY',"KEY+=`date -r ""$arg"" +\ %s`" "Archive ""/path/to/sfolder"" to ""name@remote.server:/path/to/remote/dfolder"" compressing the data during transmission",rsync -avlzp /path/to/sfolder name@remote.server:/path/to/remote/dfolder "Archive ""_vimrc"" to ""~/.vimrc"" suppressing non-error messages and compressing data during transmission",rsync -aqz _vimrc ~/.vimrc "Archive ""src/bar"" on host ""foo"" to local directory ""/data/tmp""",rsync -avz foo:src/bar /data/tmp "Archive directory ""tata"" to directory ""tata2"", compressing data during copy.",rsync -avz tata/ tata2/ Backup all PHP files under the current directory tree,"find -name ""*.php"" –exec cp {} {}.bak \;" "bind word ""pwd\n"" to key code ""\e[24~""","bind '""\e[24~"":""pwd\n""'" Brings down network interface eth0.,ifconfig eth0 down "Change every directory under ""/var/www/html/"" to have permissions 775",sudo find /var/www/html/ -type d -exec chmod 775 {} \; "Change every file under ""/var/www/html/"" to have permissions 664",sudo find /var/www/html/ -type f -exec chmod 664 {} \; Changes group ownership of 'myprogram' to ${USER} (the current user),"chgrp ""${USER}"" myprogram" "Change owner to ""$user"" and group to ""$group"" of ""$file""","chown -- ""$user:$group"" ""$file""" "Change owner to ""bob"" and group to ""sftponly"" of ""/home/bob/writable""",sudo chown bob:sftponly /home/bob/writable Change the ownership of all files in the current directory tree from root to www-data,find -user root -exec chown www-data {} \; change the ownership of all regular/normal files in the current directory after users confirmation,find . -type f -ok chown username {} \; "change the permissions of all the directories in the current folder, print0 is used for handling files with newlines in their file name",find . -type d -print0 | xargs -0 chmod 2775 Change permissions to 777 for all directories in the current directory tree,find . -type d -exec chmod 777 {} \; "Check if ""$file"" contains DOS line endings",od -t x2 -N 1000 $file | cut -c8- | egrep -m1 -q ' 0d| 0d|0d$' "concatenates file1.txt, file2.txt, and file3.txt with the filenames printed at the beginning of file contents",head -n99999999 file1.txt file2.txt file3.txt "Connect to host ""remotehost"" as ssh user ""user"" to copy remote file ""/location/KMST_DataFile_*.kms"" to current directory on local host.",scp -v user@remotehost:/location/KMST_DataFile_*.kms Connect to host 'hostname' as user 'username' by forcing host key confirmation,ssh -o UserKnownHostsFile=/dev/null username@hostname "Connect via ssh to ""your.server.example.com"" and recursively copy directory ""/path/to/foo"" on this host to direcotry ""/home/user/Desktop"" on local host, using ""blowfish"" cipher algorithm.",scp -c blowfish -r user@your.server.example.com:/path/to/foo /home/user/Desktop/ "Continuously answer ""y"" to any prompt from ""mv ...""",yes | mv ... convert epoch second timestamp to date,date -d @1278999698 +'%Y-%m-%d %H:%M:%S' "Copy ""src/prog.js"" and ""images/icon.jpg"" to ""/tmp/package/"" keeping relative path names",rsync -Rv src/prog.js images/icon.jpg /tmp/package/ "Copies '[MacVim_source_folder]/src/MacVim/mvim' to the '/usr/local/bin', printing info message on each operation.",cp -v [MacVim_source_folder]/src/MacVim/mvim /usr/local/bin "Copy all "".xml"" files in the current directory tree to ""/new/parent/dir"" preserving the directory hierarchy",find . -name \*.xml -print0 | cpio -pamvd0 /new/parent/dir "Copy all directories recursively from ""source/"" to ""destination/"" excluding all files",rsync -a --include='*/' --exclude='*' source/ destination/ "Copy all files in ""/mail"" to ""/home/username"" preserving the directory hierarchy and modification times",find /mail -type f | cpio -pvdmB /home/username Copies defined file to the target folder without overwriting existing files.,cp -n "Copy the executable ""python2.7"" in $PATH to ""myenv/bin/python""",cp `which python2.7` myenv/bin/python "Copy file linked to by ""bar.pdf"" to ""bar.pdf""",cp --remove-destination `readlink bar.pdf` bar.pdf "Copy file linked to by ""file"" to ""file""",cp --remove-destination `readlink file` file Count all directories under current directory,find . -type d -exec ls -dlrt {} \; | wc --lines Count files in the current path by modification month,find . -maxdepth 1 -type f -printf '%TY-%Tm\n' | sort | uniq -c count lines of C or C++ or Obj-C or Java code under the current directory,"find . \( -name ""*.c"" -or -name ""*.cpp"" -or -name ""*.h"" -or -name ""*.m"" -or -name '*.java' \) -print0 | xargs -0 wc" Counts non-blank lines (lines with spaces are considered blank) in all *.py files in a current folder.,grep -v '^\s*$' *.py | wc create a gzip of all the files in the current folder excluding the already gzipped files,gzip `find . \! -name '*.gz' -print` create a gzip of all the files in the current folder excluding the already gzipped files.,"find . \! -name ""*.gz"" -exec gzip {} \;" "Create a symbolic link in the current directory for each hidden file or directory in ""git-stuff/home/"" excluding ""."" and ""..""",ln -s git-stuff/home/.[!.]* . "Create a symbolic link named ""foo"" to ""/var/cache/apt/archives/bash_4.3-14ubuntu1_amd64.deb""",ln -s /var/cache/apt/archives/bash_4.3-14ubuntu1_amd64.deb foo "Create a symbolc link named ""latest"" to the last modified file or directory in ""target-directory""",ln -s target-directory/`ls -rt target-directory | tail -n1` latest create backup of all the text files present in the current folder,"find -name ""*.txt"" cp {} {}.bkup \;" "Create hard links of all files and directories matching ""test/icecream/cupcake/*"" or ""test/mtndew/livewire/*"" in ""test-keep"" preserving directory hierarchy",find test -path 'test/icecream/cupcake/*' -o -path 'test/mtndew/livewire/*' | cpio -padluv test-keep Create new crontab set for user 'test' including $job and only jobs from current crontab of 'test' user that don`t contain $command,"cat <(fgrep -i -v ""$command"" <(crontab -u test -l)) <(echo ""$job"") | crontab -u test -" Create symlinks to all /home/folder1/*.txt files and 'folder1_' directory with the same name in a target directory named '+',"find /home/folder1/*.txt -type f -exec ln -s {} ""folder1_"" +\;" Creates temporary file and saves path to it in 'fif2' variable.,fif2=$(mktemp -u) "Creates temporary file, replacing XXXXXXXXXXXXXXXXXXXXXXX with equal length suffix.",mktemp /tmp/banana.XXXXXXXXXXXXXXXXXXXXXXX.mp3 "Creates temporary file with file name formatted like /tmp/gnuplot_cmd_$(basename ""${0}"").XXXXXX.gnuplot and saves path to it in a variable 'gnuplotscript'.","gnuplotscript=$(mktemp /tmp/gnuplot_cmd_$(basename ""${0}"").XXXXXX.gnuplot)" "Creates temporary folder in a $temp_dir folder with name pattern defined by $template, and stores path to created folder in 'my_temp' variable.",$ my_temp_dir=$(mktemp -d --tmpdir=$temp_dir -t $template) "Cuts off last two parts from the path $dir, and deletes resulted folder if empty.","rmdir ""$(dirname $(dirname $dir))""" Decompress and extract 'libxml2-sources-2.7.7.tar.gz',gzip -dc libxml2-sources-2.7.7.tar.gz | tar xvf - "delete all the ""wmv"" ""wma"" files in the currnet folder,",find . \( -name '*.wmv' -o -name '*.wma' \) -exec rm {} \; Delete all directories in the /myDir directory tree,find /myDir -type d -delete Delete all empty directories in minimum 2 levels down the root directory,find root -mindepth 2 -type d -empty -delete Delete all files/directories taken by the glob pattern * except the ones with the name 'b',find * -maxdepth 0 -name 'b' -prune -o -exec rm -rf '{}' ';' "Delete all files in the ""${S}/bundled-libs"" folder except ""libbass.so""","find ""${S}/bundled-libs"" \! -name 'libbass.so' -delete" Delete all files under $INTRANETDESTINATION/monthly directory tree that were modified more than 366 days ago,find $INTRANETDESTINATION/monthly -mtime +366 -exec rm {} \; delete all the regular files in the temp folder which have not been modified in the last 24 hours + at the end gives bulk input to the rm command,find /tmp/ -type f -mtime +1 -exec rm {} + "delete all the text files starting with the name ""oldStuff"" in the file system","find / -name ""oldStuff*.txt"" -delete" delete all the tmp files ( files with the extension tmp ) in the /tmp folder. Print0 is used to display all those files which have newline in their names or files whose name is only spaces.,"find /tmp -name ""*.tmp"" -print0 | xargs -0 rm find /tmp -name ""*.tmp"" -print0 | xargs -0 rm" "Delete current cron job list, and use those in yourFile.text",crontab yourFile.text "Determine if user ""$USER"" is logged in",who | grep $USER Display a list of files with sizes in decreasing order of size of all the regular files under $dir directory tree that are bigger than $size in size,find $dir -type -f size +$size -print0 | xargs -0 ls -1hsS "display a long list of all the directories which have files ending with "".todo""","find ""$STORAGEFOLDER"" -name .todo -printf '%h\n' | uniq | xargs ls -l" "Display a long list of all the files/directories named "".todo"" under $STORAGEFOLDER directory tree",find $STORAGEFOLDER -name .todo -exec ls -l {} \; display a long listing of all the files in the current directory,find . -name * -exec ls -a {} \; display a long listing of all the files in the current folder which have been modified in the last 24 hours,find . -mtime -1 -ls display all the directories in the folder /var and do not go beyond 2 levels during search,find /var -maxdepth 2 -type d; "display all the doc files in the current folder ( files ending with "".doc"" )",find . -name '*.doc' display all the files ending with .c in the current folder,find . -name \*.c -print0 "display all the files in the current directory excluding the paths ""targert"", ""tools"", ""git""","find . \( ! -path ""*target*"" -a ! -path ""*tools*"" -a ! -path ""*.git*"" -print \)" display all files in the current folder ($@ contains the variables passed as argument to the function),"find . -iname ""*$@*"" -or -iname "".*$@*""" display all the files in the current folder along with the hidden files with the depth,"find . — name ""*"" — print -о -name "".*"" — print -depth" display all files in current folder and follow the symbolic links and display the pointed file,find -L . display all files in the current folder expect text files,"find . -name ""*.txt"" -prune -o -print" display all the files in the current folder for the files which have not been accessed in the last 24 hours,find . -type f -atime +1 display all the files in the file system which belong to the group lighttpd,find / -group lighttpd -print display all the files in the file system which belong to the user with the id 1005,find / -uid 1005 "display all the files in the home folder which belong to the suer ""bruno"" and end with "".sxw"" and have been accessed in the last 3*24 hours",find /home -type f -name *.sxw -atime -3 -user bruno display all files which have been modified between two dates in current folder,"find . -type f -newermt ""2014-01-01"" ! -newermt ""2014-06-01""" display all jpg files in the current folder,"find -iname ""*.jpg""" (Linux-specific) Display all lines containing UTRACE in the current kernel's compile-time config file.,grep UTRACE /boot/config-$(uname -r) "display all the regular files in the current folder excluding those that are present in the path ""git""","find . -path ""*.git"" -prune -o -type f -print" display all regular/normal files in the current folder that were accessed exactly 7*24 hours back,find . -type f -atime 7 display all the regular/normal files in the current folder which have been modified in the last 24 hours,find . -mtime 0 -type f "display all the regular files in the folder ""$(FOLDER)"" which are modified in the last $(RETENTION)*24 hours and excluding hidden files","find ${FOLDER} -type f ! -name \"".*\"" -mtime -${RETENTION}" display all symbolic links in current folder,"find . -lname ""*""" display all text files in a folder,"find $target -type f -iname ""*.txt""" display all the text files in a folder,find $1 -type f -name '*'$n'.txt' display the amount of disk space used by all the log files in the folder /usr/local/apache/logs/,"find /usr/local/apache/logs/ -type f -name ""*_log""|xargs du -csh" "display the base name(name without extension) of all the "".NEF"" files in the current folder","find . -name ""*.NEF"" -exec basename \{\} .NEF \;" "Display the differences between ""file1.cf"" and ""file2.cf"" side-by-side with a width of 150 characters",diff -y -W 150 file1.cf file2.cf Display the host's ECDSA fingerprint using the md5 hasing algorithm.,ssh-keygen -l -E md5 -f /etc/ssh/ssh_host_ecdsa_key.pub Display human-readable file type description of utf8.txt,file utf8.txt "Display kernel name, release, and version.",uname -s -r -v display the long listing of all files in /var/log which were modified 60 days or more ago.,find /var/log/ -mtime +60 -type f -exec ls -l {} \; display long listing of all files in the current directory whose size is 24 or 25 bytes (doesnt display inode information) .,find . -size -26c -size +23c -exec ls -l '{}' \; display long listing of all files in the current directory whose size is 24 or 25 bytes.,find . -size -26c -size +23c -ls "Display the mimetype of ""filename""",file -i filename display the names without extensions of all the data files in current folder and do not search in sub folders and which have not been changed in the last 60 mins,find . -maxdepth 1 -name '*.dat' -type f -cmin +60 -exec basename {} \; "Display operating system type, ie. GNU/Linux",uname -o "Display the output of ""ls"" for an 80 character wide display",ls | column -c 80 Display total apparent size of a file,du -sb Display what flags mount points are mounted with,mount -l "Dry run making directories in ""/TARGET_FOLDER_ROOT/"" for each "".mov"" file in the current directory tree","find . -type f -iname \*.mov -printf '%h\n' | sort | uniq | xargs -n 1 -d '\n' -I '{}' echo mkdir -vp ""/TARGET_FOLDER_ROOT/{}""" "Dump ""FILENAME"" as 2 byte wide hexadecimal numbers",od -tx2 FILENAME Enables shell option 'lithist'.,shopt -s lithist Enables shell option 'nocaseglob'.,shopt -s nocaseglob Enables shell option 'nocasematch'.,shopt -s nocasematch Enables shell option 'progcomp'.,shopt -s progcomp "Execute ""cat /tmp/iostat.running"" every 10 seconds",watch -n10 cat /tmp/iostat.running "Execute all commands in ""commands-to-execute-remotely.sh"" on server ""blah_server""",cat commands-to-execute-remotely.sh | ssh blah_server files all files which expect directories and display count of them,find /usr/share \! -type d wc -l "Find ""*.dat"" files recursively in the current directory, print the lines starting on line 5 to the console and save to ""concat.txt""","find . -type f \( -name ""*.dat"" \) -exec tail -n+5 -q ""$file"" {} + |tee concat.txt" "Find ""*201512*"" regular files in /home/myhome/data/ARCHIVE/ and move them to /home/myhome/ARCHIVE/TempFolder/",find /home/myhome/data/ARCHIVE/. -name . -o -type d -prune -o -name '*201512*' -print | xargs -i mv {} /home/myhome/ARCHIVE/TempFolder/. "Find ""*prefs copy"" files in the /mnt/zip directory tree and remove them","find /mnt/zip -name ""*prefs copy"" -print0 | xargs rm" "Find ""file.xml"" under the current directory and change directory to its parent",cd `find . -name file.xml -exec dirname {} \;` Find *.c files under $HOME and search for the string 'sprintf' in those files,find $HOME -name '*.c' -print | xargs grep -l sprintf "Find *.css files in the current directory tree, skipping all directories that match pattern '*/lang/en.css'",find . -path '*/lang/en.css' -prune -o -name '*.css' -print Find *.java files under current directory and compress them to myfile.tar,"find . -type f -name ""*.java"" | xargs tar rvf myfile.tar" Find *.java files under current directory and compress them to myfile.tar (unsafe),"find . -type f -name ""*.java"" | xargs tar cvf myfile.tar" Find a size of only the target directory in MB format,du -s --block-size=M /path/to/your/directory/ Find all $tofind* files/directories under $parentdir,find $parentdir -name $tofind* Find all *.$input_file_type files/directories under $source_dir,"find ""$source_dir"" -name *.$input_file_type" "Find all *.cls files/directories under current directory and print '{if(length($0) > L) { LINE=$0; L = length($0)}} END {print LINE""L""L}' for each of them where $0 is expanded to the file/directory path","find . -iname ""*.cls"" -exec echo '{if(length($0) > L) { LINE=$0; L = length($0)}} END {print LINE""L""L}' {} \;" Find all *.jpg files/directories under current directory,find . -name '*.jpg' Find all *.py (case insensitive) files/directories under dir directory ignoring .git path,find dir -not -path '.git' -iname '*.py' Find all *.sql file that are not newer than $oldest_to_keep excluding the $oldest_to_keep file,find . -name \*.sql -not -samefile $oldest_to_keep -not -newer $oldest_to_keep Find all *.srt files under directory named 'working' and show the first one found,"find working -type f -name ""*.srt"" | head -1" Find all *.txt files/directories in entire file system,"find / -name ""*.txt""" Find all *.txt files under / and print their sizes and paths,find / -name '*.txt' -exec du -hc {} \; Find all *shp* files/directories under current directory,find . -name '*shp*' Find all *text files/directories under current directory,"find -name ""*text""" Find all .js files in the $d directory tree whose pathnames do not contain whitespaces,"find $d -name '*.js' | grep -v "" """ "Find all the .mp3 files in the music folder and pass to the ls command, -print0 is required if any filenames contain whitespace","find ./music -name ""*.mp3"" -print0 | xargs -0 ls" "Find all .py files in the current directory except ""setup.py"" and those beginning with ""test_""",find . -maxdepth 1 -mindepth 1 \( -name '*.py' -not -name 'test_*' -not -name 'setup.py' \) Find all 2*.data files/directories under jcho directory,find jcho -name 2*.data Find all 400 permission files under /data directory and print 'Modifying ' appended with file path for each of them,find /data -type f -perm 400 -exec echo Modifying {} \; "find all c, cpp files in current folder","find -name ""*.cpp"" -o -name ""*.c""" find all the directories in current folder and do not search in sub directories,find . -maxdepth 1 -type d -print0 "Find all directories named ""D"" in the current directory tree","find . -name ""D"" -type d" Find all directories named 'local' in entire file system,find / -name local -type d "find all the directories starting with the name ""someNamePrefix"" which have not been modified in the last 10 days and force delete them",find /somePath -type d -name ‘someNamePrefix*’ -mtime +10 -print | xargs rm -rf ; Find all directories under 'test' directory tree that match the regex '[course*]' in their paths,"find test -regex ""[course*]"" -type d" Find all directories under /home/me/target_dir_1,find /home/me/target_dir_1 -type d Find all directories under /home/mywebsite/public_html/sites/all/modules and set their permission to 750,find /home/mywebsite/public_html/sites/all/modules -type d -exec chmod 750 {} + Find all directories under current directory and set their permission to 775,find -type d exec chmod 775 {} + Find all directories under foldername directory and set their permission to 755,"sudo find foldername -type d -exec chmod 755 {} "";""" "Find all duplicate "".jar"" files in the current directory tree","find . -type f -printf ""%f\n"" -name ""*.jar"" | sort -f | uniq -i -d" Find all executable files under {} and reverse sort them,find {} -type f -depth 1 -perm +0111 | sort -r Find all executable upvoter-* files/symlinks under maximum 1 level down the {} directory,find {} -name 'upvoter-*' \( -type f -or -type l \) -maxdepth 1 -perm +111 Find all files/directories case insensitively containing 'xt' in their names under '/etc' directory tree,find /etc -iregex '.*xt.*' Find all files/directories in directories/files taken from the glob pattern '/tmp/test/*' that were modified within the last day (day counted from today),find /tmp/test/* -daystart -mtime -1 Find all files/directories named 'photo.jpg' (case insensitive) under current directory tree,"find . -iname ""photo.jpg""" Find all files/directories named 'photo.jpg' under current directory tree,find -name photo.jpg Find all files/directories that contain the string literal '`$VERSION`' in their names under current directory tree,find . -name '*`$VERSION`*' Find all files/directories that start with 'screen' (case insensitive) in their names under user's home directory tree,"find ~ -iname ""screen*""" Find all files/directories under $something directory,find $something Find all files/directories under '/etc' directory tree that are greater than 5MB and print their sizes and names,find /etc -size +5M -exec ls -sh {} + Find all files/directories under '/etc' directory tree that have been modified after '/etc/motd',find /etc -newer /etc/motd Find all the files/directories under '/usr/local' directory tree which have been modified within the last day,find /usr/local -mtime -1 Find all files/directories under /eserver6 directory and follow symlinks if needed,find /eserver6 -L Find all files/directories under current directory and print them,find . -print0 | xargs -I{} -0 echo {} Find all files/directories under current directory tree that contain '1' or 'k' in their names,"find . -name ""*[1k]*""" Find all files/directories under current directory tree whose names start with '(test)' followed by two digits and end with '.txt' extension,"find . -regex "".*/(test)[0-9][0-9]\.txt""" Find all files/directories under current directory tree whose paths match the pattern '*ACK*1' (case insensitive),"find . -iwholename ""*ACK*1""" Find all files/directories with '.js' extension under current directory tree without descending into './directory',find . -path ./directory -prune -o -name '*.js' -print Find all files/directories with 777 permission under '/apps/audit' and strip write permission for 'other' from them,find /apps/audit -perm -7 -print | xargs chmod o‑w "Find all files called ""file1.txt"" that reside under and below /home/wsuNID/",find /home/wsuNID/ -name file1.txt "find all the files ending with "".sh"" in the folder /dir excluding those wth the names foo and bar.","find /dir \( -name foo -prune \) -o \( -name bar -prune \) -o -name ""*.sh"" -print" "Find all files excluding files ending with 'gz', 'tmp' and 'xftp' in their names in the current directory tree and compress them with gzip not preserving timestamp and original name","find . -type f ! \( -name ""*gz"" -o -name ""*tmp"" -o -name ""*xftp"" \) -exec gzip -n '{}' \;" Find all files in the /home/myuser directory recursively that are older than 7 days,find /home/myuser -mtime +7 -print Find all files in the current directory and its sub-directories that have not been assessed in more than 30 days.,find . -atime +30 -print "Find all files in current directory excluding hidden files, archive them and put the output into variable full_backup_dir","full_backup_dir=""$(find . -depth \( -wholename \./\.\* \) -prune -o -print | cpio -oav)""" "find all the files in the current folder and display those that are not present in the list ""file.lst""",find . | grep -vf file.lst find all files in current folder and display the total lines in them,find . | xargs wc -l find all the files in the current folder which have been accessed in the last 24 hours,find . -type f -atime 1 find all the files in the folder /opt which have been modified between 20 to 50 days ago,find /opt -mtime +30 -mtime -50 Find all files in your home directory and below that are exactly 100M.,find ~ -size 100M find all files in home folder which have been modified exactly 1 day before,find ~ -mtime 1 -daystart "find all the files in the home folder which end with "".tex""",find ~ -iname '*.tex' "Find all files named ""test2"" in the current directory",find -name test2 -prune Find all files named 'aaa.txt' under current directory tree and display their contents,cat `find . -name aaa.txt` Find all files on the system that are world writable,find / -wholename '/proc' -prune -o -type f -perm -0002 -exec ls -l {} \; "Find all files on the system whose names are 'composer.json' and search them for ""drush""",find / -name composer.json -exec grep -n drush {} /dev/null \; Find all files starting from the current directory which are owned by the user tommye,find . -user tommye Find all files that belong to user root,find / -user root find all files that names are dir-name-here,"find / -name ""dir-name-here""" Find all files that were modified later than ordinary_file in the current directory and its sub-directories.,find -newer ordinary_file Find all files that have wrong permission,find / \( -perm -006 -o -perm -007 \) \( ! -type -l \) -ls "Find all files throughout the entire file hierarchy with the optional constraints of опция_поиска, значение and/or опция_действия.",find / [опция_поиска] [значение] [опция_действия] Find all files under $musicdir directory,"find ""$musicdir"" -type f -print" Find all files under ./lib/app and redirect their sorted list to myFile,find ./lib/app -type f | sort | tee myFile Find all files under /path and below writable by `group' and `other',find /path -perm -022 Find all files under /somefolder matching the extended regex '\./(.*\.error.*|second.*log|.*FFPC\.log)$' in their paths,find -E /somefolder -type f -regex '\./(.*\.error.*|second.*log|.*FFPC\.log)$' Find all files under maximum 1 level down the ./subfolder and ./subfolder/*/ paths,find ./subfolder ./subfolder/*/ -maxdepth 1 -type f Find all the files which were modified more than 50 days but less than 100 days ago,find / -mtime +50 -mtime -100 Find all files with the extension jpg regardless of case,find . -type f -iname '*.jpg' -print0 Find all files with name ending with .txt and display only the filenames without full paths,"find ./ -name ""*.txt"" | rev | cut -d '/' -f1 | rev" find all gzip files in a folder,"find /home/foo -name ""*.gz""" find all the header files in /usr/include which have been modified in the last 400 days,"find /usr/include -type f -mtime -400 -name ""*.h""" "Find all instances of first column with unique rest of line, and output a count for each first column that found in unique lines.",sort file | uniq | cut -f1 -d' ' | uniq -c | rev "Find all JPG files under the ""$SOURCE"" directory and below","find ""$SOURCE"" -type f -iname '*.jpg'" find all the php files,find -name '*.php' find all php files in the folder /var/www/,"find /var/www/ -type f -iname ""*.php"" -print" "find all posix-extended regex ""[a-f0-9\-]\{36\}\.jpg"" files","find . -regextype posix-extended -regex ""[a-f0-9\-]\{36\}\.jpg""" Find all regular files in the current directory tree and print a command to move them to the current directory,find . -type f -exec echo mv -t . {} + find all the regular/normal files in the current folder and rename them to html files,find main-directory -type f -exec mv -v '{}' '{}'.html \; find all the normal/regular files in the current folder which have been modified two days ago and display a long listing of them,find . -type f -mtime 2 -mtime -3 -daystart -exec ls -l {} \; "find all the regular/normal files in the current folder which belong to the user ""sedlav""",find . -user sedlav -type f "Find all regular files under $DIR directory tree with "".$TYPE"" extension (case insensitive) where $TYPE expands in the current shell","find $DIR -type f -iname ""*.$TYPE""" Find all regular files under $FILES_PATH directory tree and save the output to 'FILES' variable,"FILES=$(find $FILES_PATH -type f -name ""*"")" Find all regular files under '/home/john' directory tree that start with 'landof' in their names,"find /home/john -name ""landof*"" -type f -print" Find all regular files under current directory tree excluding files from './dir1' (except './dir1/subdir1/) and './dir2' directories,find . \( -not -path './dir1/*' -and -not -path './dir2/*' -or -path './dir1/subdir1/*' \) -type f Find all socket files in the current directory and its sub-directories.,find . -type s "find all the text files in current folder and move all these to another folder appending "".bar"" at the end of these files","find . -name ""*.txt"" | xargs -I '{}' mv '{}' /foo/'{}'.bar" find all the text files in the file system and search only in the disk partition of the root.,"find / -mount -name ""*.txt""" Find all thumb.png files in the temps/ directory tree,"find temps/ -name ""thumb.png""" find all the wav files in the current folder and do not search in the sub directories,find . -name '*.wav' -maxdepth 1 find all the xml files in the current folder which are present in the pattern text file,"find . -name ""*.xml"" -exec grep -HFf <(find . -name ""*.txt"" -printf ""%f\n"") {} \;" find all the zip files in the current folder and create a tar ball of these zip files,find . -type f -name '*.zip' -print0 | xargs -0 tar -xzf Find and show all files in the current directory tree that are smaller than 500 kB,find . -size -500k "Find the directories whose names contain ""New Parts"" at level 3 of the current directory tree and create symlinks to them in /cygdrive/c/Views","find -mindepth 3 -maxdepth 3 -type d -name ""*New Parts*"" -exec ln -s -t /cygdrive/c/Views {} \;" Find the directory with least modification time under current directory,find -type d -printf '%T+ %p\n' | sort | head -1 Find directories with permissions 777 and change them to 755 recursively,find /home -type d -perm 777 -print -exec chmod 755 {} \; Find every JavaScript file in the wordpress directory tree,find wordpress -name '*js' "find the file ""myfile.txt"" in the folder /home/user/myusername/",find /home/user/myusername/ -name myfile.txt -print Find files/directories containing 'test' in their names and display the directory contents before the directories themselves,"find -name ""*test*"" -depth" Find files/directories named 'sar' under directory trees whose path starts with '/u' or '/b' or '/s' or '/o',find `ls -d /[ubso]*` -name sar "Find files/directories under /users/tom that matches both the pattern ""*.pl"" and ""*.pm""","find /users/tom -name ""*.pl"" -name ""*.pm""" find the file arrow.jpg in the entire file system,find / -name arrow.jpg Find files in the current directory tree that have one link,find . -links 1 Find files newer than main.css in ~/src,find ~/src -newer main.css Find files on the system bigger than 50MB but smaller than 100MB,find / -type f -size +50M -size -100M Find files that don’t have 644 permissions,find / -type f ! -perm 644 find file which name like 'foo.*' in current directory.,"find . -name ""foo.*""" Find files with 002 permission in entire file system with the null character as the delimiter,find / -type f -perm -002 -print0 Find files with inode number 199053,find / -inum 199053 Find the first file/directory under current directory and quit,find . ... -print -quit Find grub.conf files in entire file system,find / -name grub.conf Find image files and move them to the pictures directory,find ~/Desktop -name “*.jpg” -o -name “*.gif” -o -name “*.png” -print0 | xargs -0 mv –target-directory ~/Pictures "find md5sums of files named ""file*.txt""",md5sum file*.txt Find the password file between sub-directory level 2 and 4,find -mindepth 3 -maxdepth 5 -name passwd Find the password file between sub-directory level 2 and 4.,find -mindepth 3 -maxdepth 5 -name passw Find recursively all files under current directory tree that contain a colon in the filename,find . -name \*\:\* "Find regular files named ""expression -or expression"" under and below /dir/to/search/",find /dir/to/search/ -type f -name 'expression -or expression' -print "Force create a symbolic link in ""/usr/bin/"" for each file matching ""$javaUsrLib/jdk1*/bin/*""",sudo ln -f -s $javaUsrLib/jdk1*/bin/* /usr/bin/ "Force create a symbolc link named ""/usr/local/bin/fpdf"" to ""/usr/local/bin/findpdftext""","sudo ln -s -f ""/usr/local/bin/findpdftext"" ""/usr/local/bin/fpdf""" "Force create a symbolc link named ""new_dir"" to ""/other/dir"" without dereferencing ""new_dir""",ln -sfn /other/dir new_dir force delete all the files that have been modified in the last 3 days,find . -mtime -3 -exec rm -rf {} \; "Forcibly removes files '/tmp/stored_exception', '/tmp/stored_exception_line', '/tmp/stored_exception_source'",rm -f /tmp/stored_exception /tmp/stored_exception_line /tmp/stored_exception_source "Format output of ""mount"" as a table",mount | column -t "Format space separated fields in ""filename"" as a table",column -t -s' ' filename Format time string @$TIMESTAMP according to default time format,date -d @$TIMESTAMP "Get from file 'File1.txt' strings starting with 'Q', extract only part of them following after '=' sign, and print which ones are not found in 'File2.txt'",grep ^Q File1.txt | cut -d= -f2- | sort | comm -23 - <(sort File2.txt) Get the list of regular files in the current directory,"find . -mindepth 1 -maxdepth 1 -type f -print0 | xargs -0 -I {} echo ""{}""" Get the sizes (and total size) of all files under dir1 directory,find dir1 ! -type d |xargs wc -c List all files under and below the directory given as variable $FULFILLMENT,find $FULFILLMENT -ls List all files under current directory matching the regex '.*\(c\|h\|cpp\)',find . -type f -regex '.*\(c\|h\|cpp\)' -exec ls {} \; "List all paths to files or directories under ""/data/"" that start with ""command-"" and end with ""-setup"", sort the result by the version number specified between ""command-"" and ""-setup"" (least to greatest)","find /data/ -name 'command-*-setup' | sort -t - -V -k 2,2" list all samba files in /var/l* directory ( /var/lib or /var/log ),find /var -path */l??/samba* list all the sqlite files in the current folder,"find ./ -name ""*.sqlite"" -ls" List all symlinks under current directory and search for targetfile.txt in this list,find . -type l | xargs -I % ls -l % | grep targetfile.txt List all ~/bin/FilesDvorak/.* (non-recursive) and ~/.PAST_RC_files/.* (recursive) files/directories and take common entries between these two lists,comm -12 <(find ~/bin/FilesDvorak/.* -maxdepth 0) <(find ~/.PAST_RC_files/.*) "list complete path name to process associated with pid ""$1""",find /proc/$1/exe -printf '%l\n' Lists content of all subfolder (without recursion) in a current folder.,ls -d -1 $PWD/**/* List files and directories one level deep in the current directory tree,tree -L 2 List file contents of compressed file $i,gzip -l $i List in detail the regular files from the /somelocation/log_output directory tree that were last changed more than 40 days ago,find /somelocation/log_output -type f -ctime +40 -exec ls -l {} \; "list in long format all files from / whose filename ends in ""jbd"", not descending into directories that are not readable while searching.",find / \! -readable -prune -o -name '*.jbd' -ls List the largest file prefixed by its size in bytes of all files under the current directory,find . -type f -name '*.gz' -printf '%s %p\n'|sort -nr|head -n 1 "list symbolic links under the directory ""$directory"" using contents of the $IFS variable between output of each one","find $directory -type l -printf ""%p$IFS""" "Locate all ""copyright"" files under and below /usr/share/doc","find /usr/share/doc -name ""copyright""" "long list all the files in the curent folder starting with ""Tes""","find . -type f -name ""Tes*"" -exec ls -l {} \;" "Look for ""filename"" in the current directory and below",find -name filename "Make 3 directories named ""~/Labs/lab4a/folder"" followed by the number 1, 2, or 3","mkdir ~/Labs/lab4a/folder{1,2,3}" "Make directories ""/tmp/A"", ""/tmp/B"", ""/tmp/C"", and ""/tmp/ dir with spaces""","mkdir /tmp/A /tmp/B /tmp/C ""/tmp/ dir with spaces""" "Make directory ""mybuild""",mkdir mybuild Merge colon-separated information from file1 and file2 where first field of both files matches,join -t: <(sort file1) <(sort file2) "Merge each line in ""file"" into a single comma separated line","paste -d, -s file" "Mount a partition in ""$IMAGE"" with offset ""$OFFSET"" to ""media/$DEST"" as read only using a loop device","mount -o ro,loop,offset=$OFFSET -t auto $IMAGE /media/$DEST" "Move all files and directories in the current directory to ""/foo""",mv * /foo Move all files/directories under current directory to destDir,find sourceDir -print0 | xargs -0 mv -t destDir Move all files from the `sourceDir' directory to the `destDir' directory,find sourceDir -mindepth 1 -maxdepth 1 -exec mv --target-directory=destDir '{}' + Move all regular files under current directory to ./newdir,find ./ -type f -print | xargs -i mv -f {} ./newdir Moves file '$2' to the folder where '$1' file is located.,"mv ""$2"" ""`dirname $1`""" Open a ssh connection to user@host with X11 forwarding to run GUI programs,ssh user@host -X Output all lines in 'file' comparing the first 12 characters and discarding any adjascent lines where these characters are duplicates.,uniq -w12 -c file Output success.txt omitting lines whose first field appears in fail.txt - lines in fail.txt must appear in the same order as they do in success.txt.,join -v1 success.txt fail.txt Perform a white space safe search for all files/directories under current directory,find . -print0 | xargs -0 "Print ""This is a sentence."" by replacing all consecutive space characters with a single newline character","echo ""This is a sentence."" | tr -s "" "" ""\012""" "Print a count of duplicate lines in ""filename""",sort filename | uniq -c "Print a count of duplicate lines in ""filename"" sorted by most frequent",sort filename | uniq -c | sort -nr "Print a minimal set of differences between files in directories ""teste1"" and ""teste2"", treat absent files as empty, ignore differences in whitespace, treat all files as text, and print 3 lines of unified context",diff -burNad teste1 teste2 Print A record for domain 'domain.' from 'some.other.ip.address' nameserver,dig @some.other.ip.address domain. a Print a sorted list of all .jpg files in the current directory and below,find -name '*.jpg' | sort -n "Print appended data in ""/var/log/some.log"" that match ""foo"" and ""bar""",tail -f /var/log/some.log | grep --line-buffered foo | grep bar Print the base name of the current working directory,"basename ""`pwd`""" Print the base name via grep of the current working directory,pwd | grep -o '[^/]*$' Print the basename from a colon separated path 'a:b:c:d:e',"basename $(echo ""a:b:c:d:e"" | tr ':' '/')" Print the calendar for February 1956,cal 02 1956 "Print the commands that would execute ""myfile"" on all .ogv files from the current directory tree",find ./ -name *.ogv -exec echo myfile {} \; Print the day at 1 day ago in 2 months from now,"date -d ""$(date -d ""2 months"" +%Y-%m-1) -1 day"" +%a" "Print each line that is found only once in ""file1"" and ""file2"" combined",sort file1 file2 | uniq -u "Print the empty files/directories among empty1, empty2 and not_empty",find empty1 empty2 not_empty -prune -empty "Print every 20 bytes of standard input as tab separated groups of bytes 1-3, 4-10, and 11-20","fold -b -w 20 | cut --output-delimiter $'\t' -b 1-3,4-10,11-20" Prints folder where current script is located,"echo ""dirname: `dirname ""$0""`""" Print fourth column of space-separated data from text file text.txt.,"cat text.txt | cut -d "" "" -f 4" Print full date of yesterday,echo `date -v-1d +%F` Print help on 'cat' command usage,cat --help Print information about all users who are logged in,who -la "Print the last 1000 lines of all files matching ""/var/spool/cron/*""",tail -n 1000 /var/spool/cron/* Print last four bytes of string '0a.00.1 usb controller some text device 4dc9',echo 0a.00.1 usb controller some text device 4dc9 | rev | cut -b1-4 | rev "Print the last line of the alphabetically sorted lines in file ""set""",tail -1 <(sort set) "Print lines 15967 to 16224 in file ""dump.txt""",cat dump.txt | head -16224 | tail -258 "Prints long listing of directories '/tmp', '/tnt' themselves.",ls -ld /tmp /tnt Prints long listing of top ten most memory using processes in a system.,"ps -e -orss=,args= | sort -nr | head" Print numbers from 1 to 10 with 2 values per line,"seq 10 | paste -sd"" \n"" -" Print output of script 'trap.sh',~ $ . trap.sh | cat Prints path to folder that contains target of the symbolic link ../../../../etc/passwd.,$(dirname $(readlink -e ../../../../etc/passwd)) "Print pathname of a file that is connected to the standard output of the command ""yes""",echo <(yes) "Print process tree, adjusting output width with a screen size.",pstree | cat Prints process tree of user 'user' processes.,pstree -p user "Print the second line of output of ""ls -l""",ls -l | head -2 | tail -1 Print second section of space-separated data coming from stdin.,cut -d ' ' -f 2 "Print string ""123"" once with '1' replaced by 'a' and second time replaced by 'b'",echo 123 | tee >(tr 1 a) | tr 1 b Prints strings with MAC address configuration of each network interface in system.,ifconfig | grep HW "Print the terminal file of the users who are logged in with ""admin"" in their name",who |grep -i admin |cut -c10-20 Print timestamp as HH:MM:SS,"date +""%T""" Print true directory name of the current directory,readlink `pwd` "Print unique lines in sorted file ""A"" when compared to sorted files ""B"", ""C"", and ""D""",comm -2 -3 A B | comm -2 -3 - C | comm -2 -3 - D Print the user name of the current user,echo `whoami` Print working directory separated by newlines instead of forward slashes,pwd | tr '/' '\n' "Prompt user to type a list of cron jobs directly at the terminal, then use these replacing previously existing cron jobs.",crontab "prune all the files in the current directory, only current directory (.) is the output",find . -prune "Read a line from standard input into variable ""ENTERED_PASSWORD"" without echoing the input",read -s ENTERED_PASSWORD "Read a line from standard input into variable ""REPLY"" with prompt ""$*""","read -p ""$*""" "Read a line from standard input into variable ""SSHPASS"" with prompt ""Password: "" and without echoing the input","read -p ""Password: "" -s SSHPASS" "Read a line from standard input into variable ""ans"" without backslash escapes",read -r ans "Read a line from standard input into variable ""message"" with the prompt ""Please Enter a Message: $cr""","read -p ""Please Enter a Message: $cr"" message" "Read a line from standard input with prompt ""Enter your age:\n""",read -p $'Enter your age:\n' "Read a line of standard input with prompt ""Enter the path to the file: "" and suggestion ""/usr/local/etc/"" and save the response to variable ""FILEPATH""","read -e -p ""Enter the path to the file: "" -i ""/usr/local/etc/"" FILEPATH" "Read a line of standard input with prompt ""My prompt: "" and save it to variable ""varname""","read -e -p ""My prompt: "" varname" Read one character from standard input into variable 'c',read -n 1 c "Recursively change the owner group of ""/var/www"" of to ""www-data""",sudo chown -R www-data:www-data /var/www "Recursively change owner to ""amzadm"" and group to ""root"" of all files in ""/usr/lib/python2.6/site-packages/awscli/""",chown amzadm.root -R /usr/lib/python2.6/site-packages/awscli/ Recursively copies '$1' directory to '$2' directory.,cp -r $1 $2 "Recursively copy all directories in ""/path/to/source"" to ""/path/to/dest/"" preserving directory hierarchy",find /path/to/source -type d | cpio -pd /path/to/dest/ Recursively finds all '*.pdf' files and folders in a current folder and removes them without prompting.,"find . -name ""*.pdf"" -print0 | xargs -0 rm -rf" Recursively finds all '*.pdf' files in a current folder and removes them without prompting.,find . -name '*.pdf' -exec rm -f {} \; Recursively finds all files in a current folder excluding already compressed files and compresses them with level 9.,find . -type f | egrep -v '\.bz2' | xargs bzip2 -9 & Recursively lists all *.py and *.html files in a current folder.,ls **/*.py **/*.html Recursively removes all files like '*.pyc' in a current folder.,"find . -name ""*.pyc"" -exec rm -rf {} \;" Recursively removes all files like any-cased '*.pyc' in a current folder.,find . -iname '*.pyc' -print0 | xargs -0 --no-run-if-empty rm "Recursively set all permissions under ""/directory"" to 755",chmod -R 755 /directory "Remount ""/dev/sda7"" partition as executable",sudo mount -o remount -o exec /dev/sda7 "Remount ""/dev/shm"" with a maximum size of ""40G""","mount -o remount,size=40G /dev/shm" Remove `core' files whose status was changed more than 4 days ago,find `pwd` -name core -ctime +4 -execdir /bin/rm -f {} \; "Remove the .jpg files from the current directory whose names match regular expression "".+-[0-9]+x[0-9]+\.jpg""","find . -type f -regex "".+-[0-9]+x[0-9]+\.jpg"" -exec rm -rf {} \;" Remove all files containing 'sample' (case insensitive) in their names under '/home/user/Series' directory tree,"/usr/bin/find /home/user/Series/ -iname ""*sample*"" -exec rm {} \;" Remove all files that were older than 3 days,find . -type f -mtime +3 –exec rm –f {} \; Remove all libGLE* files from the current directory tree,find . -name libGLE* | xargs rm -f Remove all non-hidden files in the current directory tree,"find -name ""*"" | xargs rm -f" remove all the regular/normal files in the temp folder and do not delete in the sub folders,find /tmp -maxdepth 1 -type f -delete Remove all vmware-*.log files under current directory ensuring white space safety in filename,find . -name vmware-*.log -print0 | xargs -0 rm Removes any empty folder that matches pattern ed*.,rmdir ed* "Remove containing directories from variable 'path' ie. ""/some/specific/directory"" becomes ""directory"".",path=$(basename $path) "Remove everything in the current directory except files matching regular expression ""exclude these""","find . -maxdepth 1 | grep -v ""exclude these"" | xargs rm -r" Remove filetype suffix (last dot and following characters if any) from filename,echo $filename | rev | cut -f 2- -d '.' | rev Remove the passphrase from ~/.ssh/id_rsa.,"ssh-keygen -f ~/.ssh/id_rsa -P """"" "Rename ""file.txt"" in directories ""v_1"", ""v_2"", and ""v_3"" each to ""v_1.txt"", ""v_2.txt"", and ""v_3.txt"" respectively and print the conversion","rename -v 's#/file##' v_{1,2,3}/file.txt" "Rename ""file001abc.txt"" to ""abc1.txt""",mv file001abc.txt abc1.txt "Rename ""old"" to ""tmp""",mv old tmp Rename .jpg files to .jpeg in all level 2 subdirectories of the current directory,find -maxdepth 3 -mindepth 3 -type f -iname '*.jpg' -exec rename -n 's/jpg$/jpeg/i' {} + Rename all files and directories under current directory tree by converting the names to small letters without descending into 'CVS' directory,find . -name CVS -prune -o -exec mv '{}' `echo {} | tr '[A-Z]' '[a-z]'` \; -print Rename file extension '.andnav' (case insensitive) to '.tile' for all files/directories under current directory tree,"find . -name ""*.andnav"" -exec rename -v 's/\.andnav$/\.tile/i' {} \;" Rename file extension '.andnav' to '.tile' for all files/directories under current directory tree,"find . -name ""*.andnav"" | rename ""s/\.andnav$/.tile/""" "Repeat ""image.png"" 10 times on a single line",echo $(yes image.png | head -n10) "replace ""exp_to_find_for_replacement"" with ""exp_to_replace"" for all the files in the current folder",find -name ‘*exp_to_find_in_folders*’ -exec rename “s/exp_to_find_for_replacement/exp_to_replace/” {} \; "Replace each non-blank line in ""YOURFILE"" preceded with ""pX="" where ""X"" is the line number",grep -v '^$' YOURFILE | nl -s= -w99 | tr -s ' ' p "Reports count of characters in the value of ${FOO} variable as follows: ""length(FOO)==""","echo -e ""length(FOO)==$(echo -ne ""${FOO}"" | wc -m)""" "Retrieve column number from column name ""Target"" in file ""table""","head -1 table | tr -s ' ' '\n' | nl -nln | grep ""Target"" | cut -f1" "Reverse the space separated words in ""aaaa eeee bbbb ffff cccc""","echo ""aaaa eeee bbbb ffff cccc""|tr ' ' '\n'|tac|tr '\n' ' '" "Run 'join' on file1 and file2, using a literal tab character as field separator.",join -t $'\t' file1 file2 "Run 'join' with the number-sorted output of file1 and file2, without modifying file1 or file2: for each line with a common first field in file1 and file2, output the common field followed by the extra fields in both files.",join <(sort -n file1) <(sort -n file2) Run 'otherscript.sh' script with all environment variables specified in the file 'xxxx',env `cat xxxx` otherscript.sh Run script $2 on remote host $1 using interpreter $INTERPRETER with pseudo-terminal allocation,"cat $2 | grep -v ""#"" | ssh -t $1 $INTERPRETER" "Save absolute path of ""$path"" whose parents exist to variable ""abspath""",abspath=$(readlink -f $path) "Save absolute path of the script filename in variable ""SCRIPT""","SCRIPT=""$(readlink --canonicalize-existing ""$0"")""" "Save the canonical filename of the script in variable ""me""",me=$(readlink --canonicalize --no-newline $0) "Save the contents of ""numbers.txt"" to variable ""f""",f=$(cat numbers.txt) "Save full path of command ""cat"" to variable ""CAT""",CAT=`which cat` "Save the full path of command ""f"" to variable ""full_f""","full_f=""$(which f)""" "Save the greater version number of ""$1"" and ""$2"" into variable ""ver""","ver=`echo -ne ""$1\n$2"" |sort -Vr |head -n1`" "Save IP addresses of the host name in variable ""ip""",ip=$(hostname -I) Save the last modified time of file 'file_name' to variable 'STAMP',STAMP=`date -r file_name` "Save the md5 sum hash of ""$my_iso_file"" to variable ""md5""","md5=$(md5sum ""$my_iso_file"" | cut -d ' ' -f 1)" "Save the number of bytes in ""$file"" after decompression into variable ""size""","size=""$(zcat ""$file"" | wc -c)""" "Save standard input to variable ""myVar""",myVar=$(tee) Search the /mnt/raid/upload directory tree for files that have not been modified within the last 5 days,find /mnt/raid/upload -mtime +5 -print "Search the current directory and all of its sub-directory for any PDF files being careful to prevent the shell from expanding ""*"" before it's passed to find.",find . -name \*.pdf -print "Search the current directory for files whose names start with ""messages."" ignoring SVN and CVS files","find \( -name 'messages.*' ! -path ""*/.svn/*"" ! -path ""*/CVS/*"" \) -exec grep -Iw uint {} +" Search the current directory recursively for text files containing at least one character,find -type f -exec grep -Iq . {} \; -and -print Search the current directory tree for .rb files ignoring .vendor directories,find . -name .vendor -prune -o -name '*.rb' -print Search the current directory tree for all .java files newer than the file build.xml,find . -name '*.java' -newer build.xml -print Search the current directory tree for files and directories with permissions 775,find . -perm 775 -print "Search the current directory tree for regular files modified within the past 24 hours whose names do not end with "".DS_Store""",find . -mtime -1 ! -name '.DS_Store' -type f -exec basename {} \; "Search the current directory tree for regular files owned by user ""www""",find -type f -user www "Search the current directory tree for regular files whose names end with ""keep.${SUFFIX}"", where $SUFFIX is a shell variable","find . -type f -name ""*keep.${SUFFIX}""" "Search the current directory tree for regular files whose names match regular expression "".+-[0-9]+x[0-9]+\.jpg""","find . -type f -regex "".+-[0-9]+x[0-9]+\.jpg""" Search the current directory tree for symbolic links to files matching pattern '*/test*',find -P . -lname '*/test*' Search the directory $path recursively for regular files with the given $extension,"find $path -type f -name ""*.$extension""" Search the entire file hierarchy for files named zsh that exist on ext3 file systems and print out detailed information about the file.,find / -fstype ext3 -name zsh -ls search files in current folder using name patterns,"find . -name ""$pattern""" "Search the first 300 commands in history containing ""scp"" and ending in ""important""",history 300 | grep scp | grep important$ "Search for "" 840"" in history","history | grep "" 840""" "Search for ""ifconfig"" in the output of ""history"" and print 5 lines that precede and follow",history | grep -C 5 ifconfig "Search for ""pattern"" in ""file"" and join each line by a space",cat file | grep pattern | paste -sd' ' Search for '“foobar”' in all files starting with '‘' and ending with '’' and contain '.' in their names in the entire filesystem and display only the matched files,find / -name ‘*.*’ -exec grep -il “foobar” {} \; Search for 'example' in all regular files under current directory tree,"find . -type f -print | xargs grep ""example""" Search for 'foo' in all regular files under 'sources' directory tree and show the matched lines with filenames,find sources -type f -exec grep -H foo {} + Search for 'magic' in all regular files under current directory tree,"find . -type f | xargs grep ""magic""" "search for a file ""file"" in current folder and if the file is found quit !",find -name file -quit "search for all the files in the entire file system which have either suid or sgid bit enabled and find of diff of these files with the file ""files.secure"".",find / \( -perm 2000 -o -perm 4000 \) -print | diff - files.secure search for all the log files in the folder /apps which have not been modified in the last 60 days and which are present in the same file system as that of /apps and delete them,"find /apps -xdev -name ""*.log"" -type f -mtime +60 | xargs rm" search for all the perl files in the folder /nas/projects/mgmt/scripts/perl which have been modified 8-10 days ago.,"find /nas/projects/mgmt/scripts/perl -mtime 8 -mtime -10 -daystart -iname ""*.pl""" search for the directory with the name aa in the current folder,find . -type d -name aa "search for the file "".user.log"" in a folder",find /nfs/office -name .user.log -print "search for the file ""foobar.txt"" in the folder ""/home/mywebsite""","find /home/mywebsite -type f -name ""foobar.txt""" "Search for files/directories that are readable for everybody, have at least one write bit set but are not executable for anybody",find . -perm -444 -perm /222 ! -perm /111 Search for files/directories with the case insensitive pattern anaconda.* in var/log directory and create an archive (somefile.tar) of all the files found ensuring white space safety,find var/log -print0 -iname 'anaconda.*' | tar -cvf somefile.tar -T - search for files having python in filename,find / -iname '*python*' search for files in current folder using name patterns,"find . -name ""S1A*1S*SAFE""" "Search for files in the current user's home directory and below for files that have not been accessed for more than 100 days and ask the user for permission to delete each file, one by one.",find ~/ -atime +100 -exec rm -i {} \; Search for the literal string 'v$process' in all files under current directory,find . -print|xargs grep v\$process Search for the string 'foo' in *.html files under /usr/src/linux directory,"grep foo `find /usr/src/linux -name ""*.html""`" Search for the string 'git' in all the files under current directory tree without traversing into '.git' folder and excluding files that have 'git' in their names,find . -path ./.git -prune -o -not -name '*git*' -print |grep git "search for the word ""nutshell"" or ""Nutshell"" in all the files in the folder book",find /book -print | xargs grep '[Nn] utshell' search for the word echo all the bash files(files ending with .bash) in the current folder,"find . -name ""*.bash"" |xargs grep ""echo""" search for the word foo in all the js files in the current folder,"find . -name ""*.js"" -exec grep -iH foo {} \;" "Search my_folder recursively for text files containing ""needle text""","find my_folder -type f -exec grep -l ""needle text"" {} \; -exec file {} \; | grep text" Search non-recursively directory tree `MyApp.app' for directories whose name is 'Headers' and delete them in an optimized way,find MyApp.app -name Headers -type d -prune -exec rm -rf {} + "Search the regular files of the current directory tree for string ""whatever""",find . -type f -exec grep -H whatever {} \; Search the system for files and directories owned by group `managers',find / -group managers -print Set 644 permission to all regular files under /home/my/special/folder directory,chmod 644 `find /home/my/special/folder -type f` Set the modification timestamp of file 'filename' to specified date/time.,"touch -m --date=""Wed Jun 12 14:00:00 IDT 2013"" filename" "Set the shell prompt to ""host:pwd>""",PS1=`hostname`':\W> ' "Set trace prompt to print seconds, nnoseconds, script name, and line number","PS4='+$(date ""+%s:%N"") %N:%i> '" "Setup a local SSH tunnel from port 1234 to ""remote2"" port 22 via connection to ""remote1"" as ""user1"" on port 45678",ssh -L 1234:remote2:22 -p 45678 user1@remote1 "show all the files in the current folder which has the word ""ITM""",find . -name ‘*ITM*’ "Show current date in ""%Y-%m-%d"" format","date ""+%Y-%m-%d""" Show the list of directories in the /mnt/raid directory tree,find /mnt/raid -type d -print "Silently read a single character from standard input into variable ""REPLY"" without backslash escapes, with a timeout of 5 seconds, and using the prompt $'Press any key or wait 5 seconds to continue...\n'",read -rsp $'Press any key or wait 5 seconds to continue...\n' -n 1 -t 5 "Silently read a single character into variable ""REPLY""",read -n1 -s simulate a full login of user builder,su -l builder Sort content of files 'file1' and 'file2' by second of dot-separated fields.,cat file1 file2 |sort -t. -k 2.1 "Split ""file"" into 10 files of about equal size without splitting lines",split -n l/10 file SSH into $1 with login name 'pete',"ssh ""$1"" -l pete" "SSH with trusted X11 forwarding into ""user@remoteToRemote_IP"" from SSH connection ""user@remote_IP""",ssh -XY -t user@remote_IP 'ssh -XY -t user@remoteToRemote_IP' Start program 'scriptname' with an empty environment.,env - scriptname "Unzip and untar ""tarball.tar.gz""",zcat tarball.tar.gz | tar x Update the history file in the current session,history -w Update the timestamp of '/tmp/$$' to the current month and day,touch -t `date +%m%d0000` /tmp/$$ "Variable PID contains a process ID, send SIGTERM to this process if it exists.",kill $PID Verbosely compresses all files on second and third depth level keeping original files in place.,bzip2 -kv */* Verbosely compresses all files on seventh and eighth depth level keeping original files in place.,bzip2 -kv */*/*/*/*/*/*/* A no-op on filename with sed,"sed -i ""s/\\\\\n//g"" filename" Abort the shell or script on the first failed command,set -e "Add ""Line of text here"" on top of each *.py files under current directory",find . -name \*.py -print0 | xargs -0 sed -i '1a Line of text here' "Add directory ""$HOME/Pictures"" to the directory stack","pushd ""$HOME/Pictures""" Add newline before all 2nd and consequent occurrences of '3d3d' in file 'temp' and write each line from the output to files with prefix 'temp' and numeric suffixes,sed 's/3d3d/\n&/2g' temp | split -dl1 - temp "Add variable 'v' with value '5' to a temporary environment, list this environment using 'less' to interactively view it.",v=5 env|less Adds %Pathname% to the dirs stack (Windows format).,pushd %Pathname% "Append ""& Bytes"" to the end of every line in ""$TEMPFILE"" and format the result as a table","sed 's/.*/& Bytes/' ""$TEMPFILE"" | column -t" "Append ""\r"" on each line of file ""input"" and display the printable characters",sed 's/$/\r/g' input |od -c "Append ""foo"" and ""bar"" column in file ""file"" with values dependent on the current table contents","awk 'NR==1 {print $0, ""foo"", ""bar""; next} {print $0, ($2==""x""?""-"":""x""), ($4==""x""?""-"":""x"")}' file | column -t" Append the parent directory name with a space in all 'text.txt' files in all sub directories of current directory,"find . -name text.txt | sed 's|.*/\(.*\)/.*|sed -i ""s@^@\1 @"" & |' | sh" "Calculate the md5 sum of ""logdir"" and print only the hash","echo -n ""logdir"" | md5sum - | awk '{print $1}'" Calculate the total size of all *.jpg files in the directory tree,"find . -name ""*jpg"" -exec du -k {} \; | awk '{ total += $1 } END { print total/1024 "" Mb total"" }'" "Calculates process depth of process with id $processid, and stores it in a 'depth' variable.",depth=$(pstree -sA $processid | head -n1 | sed -e 's#-+-.*#---foobar#' -e 's#---*#\n#g' -eq | wc -l) "Case-insensitive search for ""error"" in file report.txt, display one page at a time, waiting for user interaction between each.",cat report.txt | grep -i error | more "Change all cron jobs running ""anm.sh"" to be run every 10 minutes instead of 5 minutes.",crontab -l | sed '/anm\.sh/s#\/5#\/10#' | crontab - Change every reference to the colour red to green in all CSS files,"find . -name ""*.css"" -exec sed -i -r 's/#(FF0000|F00)\b/#0F0/' {} \;" Change permissions to 644 for all regular files in and below the current directory,"find . -type f -print | sed -e 's/^/""/' -e 's/$/""/' | xargs chmod 644" "Change string ""searc"" to ""replace"" in all files in directory hierarchy",find . -type f -exec sed -i 's/searc/replace/g' {} \; Change the group of all directories (except those with a '.') under current directory tree to a group with the same name as the directory name,"find . -type d | sed -e 's/\.\///g' -e 's/\./avoid/g' | grep -v avoid | awk '{print $1""\t""$1}' | xargs chgrp" "Check if ""/path/to/dir"" is a nfs mount point",mount -l | grep 'type nfs' | sed 's/.* on \([^ ]*\) .*/\1/' | grep /path/to/dir Clean up all zombie processes by instantly killing their parent process with SIGKILL signal.,"kill -9 $(ps -A -ostat,ppid | grep -e '[zZ]'| awk '{ print $2 }')" "Clean up all zombie processes by sending SIGTERM signal to their parent process, which requests them to terminate.","kill $(ps -A -ostat,ppid | awk '/[zZ]/{print $2}')" "Collapse double slashes in variable ""dir"" into a single one.","dir=""`echo $dir | sed s,//,/,g`""" "Compare column 3 with column 2 of the next line in ""file"" and format output as a table","awk 'NR==1 { print; next } { print $0, ($1 == a && $2 == b) ? ""equal"" : ""not_equal""; a = $1; b = $3 }' file | column -t" "Continuously convert ""20131202"" into ""2013 12 02"" and print the result","yes a=\""20131202\"" | sed -e :a -e 's/...\([0-9]\{4\}\)\([0-9]\{2\}\)\([0-9]\{2\}\)./\1 \2 \3/'" "Continuously print the seconds since Unix epoch and the ping time to ""google.com""","ping google.com | awk -F'[ =]' 'NR>1{print system(""echo -n $(date +%s)""), $11}'" "Continuously send ""y"" as input to ""cat"" which outputs to ""more""",yes | cat | more "Convert ""595a"" into characters and then print the hexadecimal and printable characters of each byte","echo 595a | awk -niord '$0=chr(""0x""RT)' RS=.. ORS= | od -tx1c" Convert *.au files to *.wav files using `sox',"find -type f -name '*.au' | awk '{printf ""sox %s %s\n"",$0,$0"".wav"" }' | bash" Convert Unix `cal` output to latex table code.,cal -h 02 2012| cut -c4-17 | sed -r 's/(..)\s/\0\t\&/g' | sed 's/$/\t\\\\/' | head -n-1 | tail -n +2 "Convert all characters in ""$a"" to lower case and save to variable ""b""","b=`echo ""$a"" | sed 's/./\L&/g'`" "Convert all characters in ""$a"" to upper case and save to variable ""b""","b=`echo ""$a"" | sed 's/./\U&/g'`" Convert all characters in standard input to lower case,sed 's/.*/\L&/' Converts all windows line endings to unix line endings,find $(pwd) -type f | xargs -I xxx sed -i 's/\r//g' xxx Copies all files like '*.txt' under the current directory to the './tmp/' directory.,"find . -type f -name '*.txt' | sed 's/'""'""'/\'""'""'/g' | sed 's/.*/""&""/' | xargs -I{} cp -v {} ./tmp/" "Copy directory hierarchy from ""$sourceDir"" to ""$targetDir""","find ""$sourceDir"" -type d | sed -e ""s?$sourceDir?$targetDir?"" | xargs mkdir -p" Count lines that are neither blanks nor comments in a file 'foo.pl',cat foo.pl | sed '/^\s*#/d;/^\s*$/d' | wc -l Count non-blank lines in a file 'foo.c',cat foo.c | sed '/^\s*$/d' | wc -l "Count the number of ""x"" characters in ""filename""",sed 's/[^x]//g' filename | tr -d '\012' | wc -c "Count the number of times that a single ""-----------\n"" separated record contains both ""A=2"" and ""dummy=2"" and the number of records that do not have ""dummy=2"" in compressed file ""file.gz""","zcat file.gz | awk -v RS=""-----------\n"" '/A=2[ ,\n]/ && /dummy=2[ ,\n]/{count++} !/dummy=2[ ,\n]/{other++} END{print ""Final counter value="",count, ""; other="", other}'" "Count the number of times that a single ""-----------\n"" separated record contains both ""A=2"" and ""dummy=2"" in compressed file ""file.gz""","zcat file.gz | awk -v RS=""-----------\n"" '/A=2[ ,\n]/ && /dummy=2[ ,\n]/{count++} END{print ""Final counter value="",count}'" Count total number of lines in all *.txt file in cuuent directory .,find . -type f -name '*.txt' -exec wc -l {} \; | awk '{total += $1} END{print total}' Counts all non-blank lines in the $i file.,sed '/^\s*$/d' $i | wc -l ## skip blank lines Counts lines in file $file and prints number only.,wc -l $file | awk '{print $1}'; Create a report of the contents of a USB drive mounted at find /path/to/drive,"find /path/to/drive -type f -exec file -b '{}' \; -printf '%s\n' | awk -F , 'NR%2 {i=$1} NR%2==0 {a[i]+=$1} END {for (i in a) printf(""%12u %s\n"",a[i],i)}' | sort -nr" "Create a variable CDATE in the current shell that contains the date in '%Y-%m-%d %H:%M:%S' format , and a variable EPOCH that contains the seconds since epoch","source <(date +""CDATE='%Y-%m-%d %H:%M:%S' EPOCH='%s'"")" "Creates path as current folder path and folder that contains $0 file, and saves result in 'script_dir' variable.",set script_dir = `pwd`/`dirname $0` "Cut all remote paths from HTTP URLs received from standard input (one per line) keeping only the protocol identifier and host name, of the form http://example.com",sed -n 's;\(http://[^/]*\)/.*;\1;p' "Cut all remote paths from HTTP URLs received from standard input (one per line) keeping only the protocol identifier, host name, and trailing slash, of the form http://example.com/",sed -n 's;\(http://[^/]*/\).*;\1;p' "Decompress ""file2.txt"" and ""file1.txt"" and print lines in ""file1.txt"" that match the 5th tab separated field in ""file2.txt""",awk -F'\t' 'NR==FNR{a[$5];next} $5 in a' <(zcat file2.txt) <(zcat file1.txt) Delete all contents form the files that contain the case insensitive regex 'stringtofind' in maximum 1 level down the / directory excluding other partitions,"find / -maxdepth 1 -xdev -type f -exec grep -i ""stringtofind"" -l {} \; -exec sed -i '/./d' {} \;" "Delete all lines matching ""pattern to match"" in ""./infile"" and make a backup with suffix "".bak""",sed -i.bak '/pattern to match/d' ./infile "Delete all lines matching ""pattern"" in ""filename""",sed -i '/pattern/d' filename "Delete all lines matching ""some string here"" in ""yourfile""",sed --in-place '/some string here/d' yourfile Delete all matches to the regex '^.*iframe bla bla bla.*$' in all the php files under current directory tree and modify the files in-place,find ./ -type f -name \*.php -exec sed -i ’s/^.*iframe bla bla bla.*$//g’ {} \; Delete empty lines from standard input,"sed -n ""s/^$//;t;p;""" "Delete every second line from output of ""seq 10""",seq 10 | sed '0~2d' "Delete the 4th tab separated column from the output of ""finger""","finger | awk -F""\t"" -v 'OFS=\t' '{ $4=""""; print $0}' | sed 's/\t\{2,\}/\t/'" "Delete the line containing ""start"" plus the next 4 lines from standard input","sed '/start/,+4d'" Delete the text matched by the regex '